From beef10a15b16b04be6844e1d8814437236ae80cd Mon Sep 17 00:00:00 2001 From: sokolovstanislav Date: Thu, 14 Mar 2024 11:27:47 +0300 Subject: [PATCH] =?UTF-8?q?=D0=92=20=D0=BE=D0=BF=D0=B8=D1=81=D0=B0=D0=BD?= =?UTF-8?q?=D0=B8=D0=B8=20=D0=BF=D1=80=D0=B5=D0=B4=D1=8B=D0=B4=D1=83=D1=89?= =?UTF-8?q?=D0=B5=D0=B3=D0=BE=20=D0=BA=D0=BE=D0=BC=D0=BC=D0=B8=D1=82=D0=B0?= =?UTF-8?q?=20=D0=BE=D1=88=D0=B8=D0=B1=D0=BA=D0=B0:=20=D0=BF=D0=B0=D0=BC?= =?UTF-8?q?=D1=8F=D1=82=D1=8C=20=D0=BE=D0=BF=D1=80=D0=B5=D0=B4=D0=B5=D0=BB?= =?UTF-8?q?=D1=8F=D0=BB=D0=B0=D1=81=D1=8C=20=D0=BA=D0=B0=D0=BA=20=D1=81?= =?UTF-8?q?=D0=B8=D0=BD=D1=85=D1=80=D0=BE=D0=BD=D0=BD=D0=B0=D1=8F.=20?= =?UTF-8?q?=D0=A3=D0=B2=D0=B5=D0=BB=D0=B8=D1=87=D0=B8=D0=BB=D0=B8=20=D1=80?= =?UTF-8?q?=D0=B0=D0=B7=D0=BC=D0=B5=D1=80=D0=BD=D0=BE=D1=81=D1=82=D1=8C=20?= =?UTF-8?q?=D0=BF=D0=B0=D0=BC=D1=8F=D1=82=D0=B8=208=D1=8532.=20=D0=A1?= =?UTF-8?q?=D0=BE=20=D1=81=D1=82=D0=BE=D1=80=D0=BE=D0=BD=D1=8B=20=D0=BA?= =?UTF-8?q?=D0=BE=D0=BD=D1=82=D1=80=D0=BE=D0=BB=D0=BB=D0=B5=D1=80=D0=B0=20?= =?UTF-8?q?=D0=B2=D0=B2=D0=B5=D0=BB=D0=B8=20=D0=BD=D0=BE=D0=B6=D0=BA=D0=B8?= =?UTF-8?q?=20nBL.=20=D0=AD=D1=82=D0=BE=20=D0=B2=D1=8B=D0=B1=D0=BE=D1=80?= =?UTF-8?q?=20=D0=B1=D0=B0=D0=B9=D1=82=D0=B0=20(=D0=BE=D1=82=200=20=D0=B4?= =?UTF-8?q?=D0=BE=203)=20=D0=B2=20=D1=8F=D1=87=D0=B5=D0=B9=D0=BA=D0=B8=20?= =?UTF-8?q?=D0=BF=D0=B0=D0=BC=D1=8F=D1=82=D0=B8.=20=D0=94=D0=BE=D0=B1?= =?UTF-8?q?=D0=B0=D0=B2=D0=B8=D0=BB=D0=B8=20=D0=BE=D0=BF=D0=B8=D1=81=D0=B0?= =?UTF-8?q?=D0=BD=D0=B8=D0=B5=20=D0=B1=D0=BB=D0=BE=D0=BA=D0=B0=20=D0=BF?= =?UTF-8?q?=D0=B0=D0=BC=D1=8F=D1=82=D0=B8.=20=D0=9F=D0=BE=D1=81=D0=BB?= =?UTF-8?q?=D0=B5=20=D1=81=D0=B8=D0=BD=D1=82=D0=B5=D0=B7=D0=B0,=20=D0=BA?= =?UTF-8?q?=D0=BE=D1=82=D0=BE=D1=80=D1=8B=D0=B9=20=D1=81=D1=82=D0=B0=D0=BB?= =?UTF-8?q?=20=D0=B7=D0=B0=D0=BD=D0=B8=D0=BC=D0=B0=D1=82=D1=8C=20=D0=BD?= =?UTF-8?q?=D0=B0=D0=BC=D0=BD=D0=BE=D0=B3=D0=BE=20=D0=B1=D0=BE=D0=BB=D1=8C?= =?UTF-8?q?=D1=88=D0=B5=20=D0=B2=D1=80=D0=B5=D0=BC=D0=B5=D0=BD=D0=B8,=20?= =?UTF-8?q?=D0=B1=D0=BB=D0=BE=D0=BA=20=D0=BF=D0=B0=D0=BC=D1=8F=D1=82=D0=B8?= =?UTF-8?q?=20=D0=BF=D0=B5=D1=80=D0=B5=D1=81=D1=82=D0=B0=D0=BB=20=D0=BE?= =?UTF-8?q?=D0=BF=D1=80=D0=B5=D0=B4=D0=B5=D0=BB=D1=8F=D1=82=D1=81=D1=8F=20?= =?UTF-8?q?=D0=BA=D0=B0=D0=BA=20=D1=81=D0=B8=D0=BD=D1=85=D1=80=D0=BE=D0=BD?= =?UTF-8?q?=D0=BD=D0=B0=D1=8F=20=D0=BF=D0=B0=D0=BC=D1=8F=D1=82=D1=8C.=20?= =?UTF-8?q?=D0=94=D0=B0=D0=BD=D0=BD=D1=8B=D0=B5=20=D0=BF=D0=BE=20=D0=B7?= =?UTF-8?q?=D0=B0=D0=B3=D1=80=D1=83=D0=B7=D0=BA=D0=B5=20=D0=9F=D0=9B=D0=98?= =?UTF-8?q?=D0=A1:=20Total=20logic=20elements=20=20=20=2010,706=20/=2024,6?= =?UTF-8?q?24=20(=2043=20%=20)=20Total=20combinational=20functions=20=206,?= =?UTF-8?q?603=20/=2024,624=20(=2027=20%=20)=20Dedicated=20logic=20registe?= =?UTF-8?q?rs=20=208,249=20/=2024,624=20(=2033=20%=20)=20Total=20registers?= =?UTF-8?q?=20=20=20=208249=20Total=20pins=20=20=20=2025=20/=20149=20(=201?= =?UTF-8?q?7=20%=20)=20Total=20PLLs=20=20=20=201=20/=204=20(=2025=20%=20)?= MIME-Version: 1.0 Content-Type: text/plain; charset=UTF-8 Content-Transfer-Encoding: 8bit --- MainController/MainController.bdf | 211 +- MainController/MainController.qsf | 2 + MainController/RAM.bsf | 29 +- MainController/RAM.vhd | 49 +- .../output_files/MainController.fit.rpt | 11073 +++++++++++++++- .../output_files/MainController.fit.summary | 14 +- .../output_files/MainController.flow.rpt | 32 +- .../output_files/MainController.jdi | 2 +- .../output_files/MainController.map.rpt | 617 +- .../output_files/MainController.map.summary | 14 +- .../output_files/MainController.pin | 4 +- .../output_files/MainController.sof | Bin 703969 -> 703969 bytes .../output_files/MainController.sta.rpt | 2843 ++-- .../output_files/MainController.sta.summary | 12 +- 14 files changed, 12222 insertions(+), 2680 deletions(-) diff --git a/MainController/MainController.bdf b/MainController/MainController.bdf index f645a27..1929cad 100644 --- a/MainController/MainController.bdf +++ b/MainController/MainController.bdf @@ -34,11 +34,11 @@ applicable agreement for further details. (line (pt 109 12)(pt 113 8)) ) (text "VCC" (rect 128 7 148 17)(font "Arial" (font_size 6))) - (annotation_block (location)(rect 264 104 320 216)) + (annotation_block (location)(rect 320 104 376 216)) ) (pin (input) - (rect 376 144 544 160) + (rect 392 24 560 40) (text "INPUT" (rect 125 0 153 10)(font "Arial" (font_size 6))) (text "FPGA_CLK" (rect 5 0 61 12)(font "Arial" )) (pt 168 8) @@ -51,7 +51,7 @@ applicable agreement for further details. (line (pt 109 12)(pt 113 8)) ) (text "VCC" (rect 128 7 148 17)(font "Arial" (font_size 6))) - (annotation_block (location)(rect 328 144 376 160)) + (annotation_block (location)(rect 344 24 392 40)) ) (pin (input) @@ -104,9 +104,25 @@ applicable agreement for further details. (text "VCC" (rect 128 7 148 17)(font "Arial" (font_size 6))) (annotation_block (location)(rect 320 280 376 296)) ) +(pin + (input) + (rect 376 296 544 312) + (text "INPUT" (rect 125 0 153 10)(font "Arial" (font_size 6))) + (text "nBL[1..0]" (rect 5 0 50 12)(font "Arial" )) + (pt 168 8) + (drawing + (line (pt 84 12)(pt 109 12)) + (line (pt 84 4)(pt 109 4)) + (line (pt 113 8)(pt 168 8)) + (line (pt 84 12)(pt 84 4)) + (line (pt 109 4)(pt 113 8)) + (line (pt 109 12)(pt 113 8)) + ) + (text "VCC" (rect 128 7 148 17)(font "Arial" (font_size 6))) +) (pin (output) - (rect 1144 40 1320 56) + (rect 1144 24 1320 40) (text "OUTPUT" (rect 1 0 39 10)(font "Arial" (font_size 6))) (text "FPGA_LED_1" (rect 90 0 158 12)(font "Arial" )) (pt 0 8) @@ -119,11 +135,11 @@ applicable agreement for further details. (line (pt 82 8)(pt 78 12)) (line (pt 78 12)(pt 82 8)) ) - (annotation_block (location)(rect 1320 40 1376 56)) + (annotation_block (location)(rect 1320 24 1376 40)) ) (pin (output) - (rect 1144 64 1320 80) + (rect 1144 112 1320 128) (text "OUTPUT" (rect 1 0 39 10)(font "Arial" (font_size 6))) (text "FPGA_LED_2" (rect 90 0 158 12)(font "Arial" )) (pt 0 8) @@ -136,11 +152,11 @@ applicable agreement for further details. (line (pt 82 8)(pt 78 12)) (line (pt 78 12)(pt 82 8)) ) - (annotation_block (location)(rect 1320 64 1376 80)) + (annotation_block (location)(rect 1320 112 1376 128)) ) (pin (output) - (rect 1144 88 1320 104) + (rect 1144 128 1320 144) (text "OUTPUT" (rect 1 0 39 10)(font "Arial" (font_size 6))) (text "FPGA_LED_3" (rect 90 0 158 12)(font "Arial" )) (pt 0 8) @@ -153,7 +169,7 @@ applicable agreement for further details. (line (pt 82 8)(pt 78 12)) (line (pt 78 12)(pt 82 8)) ) - (annotation_block (location)(rect 1320 88 1376 104)) + (annotation_block (location)(rect 1320 128 1376 144)) ) (pin (bidir) @@ -172,10 +188,10 @@ applicable agreement for further details. ) (flipy) (text "VCC" (rect 152 7 172 17)(font "Arial" (font_size 6))) - (annotation_block (location)(rect 200 120 256 232)) + (annotation_block (location)(rect 248 120 304 232)) ) (symbol - (rect 632 104 784 216) + (rect 632 88 784 200) (text "AlteraPLL" (rect 5 0 52 12)(font "Arial" )) (text "inst" (rect 8 96 25 108)(font "Arial" )) (port @@ -218,7 +234,7 @@ applicable agreement for further details. ) ) (symbol - (rect 632 16 752 96) + (rect 632 0 752 80) (text "LedBlink" (rect 5 0 46 12)(font "Arial" )) (text "inst2" (rect 8 64 31 76)(font "Arial" )) (port @@ -242,7 +258,7 @@ applicable agreement for further details. (symbol (rect 952 176 1152 384) (text "RAM" (rect 5 0 28 12)(font "Arial" )) - (text "inst3" (rect 8 192 31 204)(font "Arial" )) + (text "inst1" (rect 8 192 31 204)(font "Arial" )) (port (pt 0 32) (input) @@ -281,31 +297,38 @@ applicable agreement for further details. (port (pt 0 112) (input) - (text "address1[7..0]" (rect 0 0 70 12)(font "Arial" )) - (text "address1[7..0]" (rect 21 107 91 119)(font "Arial" )) + (text "bl0[1..0]" (rect 0 0 40 12)(font "Arial" )) + (text "bl0[1..0]" (rect 21 107 61 119)(font "Arial" )) (line (pt 0 112)(pt 16 112)(line_width 3)) ) (port (pt 0 128) (input) - (text "we1" (rect 0 0 18 12)(font "Arial" )) - (text "we1" (rect 21 123 39 135)(font "Arial" )) - (line (pt 0 128)(pt 16 128)) + (text "address1[7..0]" (rect 0 0 70 12)(font "Arial" )) + (text "address1[7..0]" (rect 21 123 91 135)(font "Arial" )) + (line (pt 0 128)(pt 16 128)(line_width 3)) ) (port (pt 0 144) (input) - (text "oe1" (rect 0 0 17 12)(font "Arial" )) - (text "oe1" (rect 21 139 38 151)(font "Arial" )) + (text "we1" (rect 0 0 18 12)(font "Arial" )) + (text "we1" (rect 21 139 39 151)(font "Arial" )) (line (pt 0 144)(pt 16 144)) ) (port (pt 0 160) (input) - (text "ce1" (rect 0 0 17 12)(font "Arial" )) - (text "ce1" (rect 21 155 38 167)(font "Arial" )) + (text "oe1" (rect 0 0 17 12)(font "Arial" )) + (text "oe1" (rect 21 155 38 167)(font "Arial" )) (line (pt 0 160)(pt 16 160)) ) + (port + (pt 0 176) + (input) + (text "ce1" (rect 0 0 17 12)(font "Arial" )) + (text "ce1" (rect 21 171 38 183)(font "Arial" )) + (line (pt 0 176)(pt 16 176)) + ) (port (pt 200 32) (bidir) @@ -316,8 +339,8 @@ applicable agreement for further details. (port (pt 200 48) (bidir) - (text "data1[7..0]" (rect 0 0 53 12)(font "Arial" )) - (text "data1[7..0]" (rect 139 43 192 55)(font "Arial" )) + (text "data1[31..0]" (rect 0 0 59 12)(font "Arial" )) + (text "data1[31..0]" (rect 136 43 195 55)(font "Arial" )) (line (pt 200 48)(pt 184 48)(line_width 3)) ) (drawing @@ -338,40 +361,6 @@ applicable agreement for further details. (pt 592 624) (bus) ) -(connector - (pt 544 152) - (pt 608 152) -) -(connector - (pt 608 152) - (pt 632 152) -) -(connector - (pt 784 136) - (pt 832 136) -) -(connector - (pt 608 48) - (pt 608 152) -) -(connector - (pt 608 48) - (pt 632 48) -) -(connector - (pt 752 48) - (pt 1144 48) -) -(connector - (pt 1168 160) - (pt 1168 208) - (bus) -) -(connector - (pt 1168 208) - (pt 1152 208) - (bus) -) (connector (pt 544 224) (pt 592 224) @@ -382,10 +371,6 @@ applicable agreement for further details. (pt 952 224) (bus) ) -(connector - (pt 952 208) - (pt 832 208) -) (connector (pt 544 256) (pt 560 256) @@ -395,37 +380,63 @@ applicable agreement for further details. (pt 952 256) ) (connector - (pt 544 272) - (pt 904 272) + (pt 1168 160) + (pt 1168 208) + (bus) ) (connector - (pt 904 272) - (pt 904 240) + (pt 1152 208) + (pt 1168 208) + (bus) ) (connector - (pt 952 240) - (pt 904 240) + (pt 608 136) + (pt 632 136) ) (connector - (pt 920 288) - (pt 544 288) + (pt 608 32) + (pt 608 136) ) (connector - (pt 920 288) - (pt 920 272) + (pt 560 32) + (pt 608 32) ) (connector - (pt 920 272) - (pt 952 272) + (pt 608 32) + (pt 632 32) ) (connector - (pt 888 240) - (pt 888 160) + (pt 608 208) + (pt 952 208) +) +(connector + (pt 608 136) + (pt 608 208) +) +(connector + (pt 608 208) + (pt 608 624) +) +(connector + (pt 752 32) + (pt 1144 32) +) +(connector + (pt 784 136) + (pt 1144 136) +) +(connector + (pt 784 120) + (pt 1144 120) +) +(connector + (pt 856 240) + (pt 856 160) (bus) ) (connector (pt 1168 160) - (pt 888 160) + (pt 856 160) (bus) ) (connector @@ -435,47 +446,51 @@ applicable agreement for further details. ) (connector (pt 576 240) - (pt 888 240) + (pt 856 240) (bus) ) (connector - (pt 832 72) - (pt 1144 72) + (pt 544 272) + (pt 872 272) ) (connector - (pt 832 136) - (pt 832 72) + (pt 872 272) + (pt 872 240) ) (connector - (pt 784 152) - (pt 856 152) + (pt 952 240) + (pt 872 240) ) (connector - (pt 856 152) - (pt 856 96) + (pt 544 288) + (pt 888 288) ) (connector - (pt 856 96) - (pt 1144 96) + (pt 888 288) + (pt 888 272) ) (connector - (pt 832 208) - (pt 832 304) + (pt 952 272) + (pt 888 272) ) (connector - (pt 832 304) - (pt 608 304) + (pt 952 288) + (pt 904 288) + (bus) ) (connector - (pt 608 152) - (pt 608 304) + (pt 904 288) + (pt 904 304) + (bus) ) (connector - (pt 608 304) - (pt 608 624) + (pt 904 304) + (pt 544 304) + (bus) ) -(junction (pt 608 152)) (junction (pt 592 224)) (junction (pt 560 256)) (junction (pt 576 240)) -(junction (pt 608 304)) +(junction (pt 608 136)) +(junction (pt 608 32)) +(junction (pt 608 208)) diff --git a/MainController/MainController.qsf b/MainController/MainController.qsf index 0151a4b..6d55650 100644 --- a/MainController/MainController.qsf +++ b/MainController/MainController.qsf @@ -103,4 +103,6 @@ set_location_assignment PIN_167 -to FPGA_LED_2 set_location_assignment PIN_168 -to FPGA_LED_3 set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to FPGA_LED_2 set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to FPGA_LED_3 +set_location_assignment PIN_197 -to nBL[1] +set_location_assignment PIN_200 -to nBL[0] set_instance_assignment -name PARTITION_HIERARCHY root_partition -to | -section_id Top \ No newline at end of file diff --git a/MainController/RAM.bsf b/MainController/RAM.bsf index 12b4098..16def07 100644 --- a/MainController/RAM.bsf +++ b/MainController/RAM.bsf @@ -61,31 +61,38 @@ applicable agreement for further details. (port (pt 0 112) (input) - (text "address1[7..0]" (rect 0 0 55 12)(font "Arial" )) - (text "address1[7..0]" (rect 21 107 76 119)(font "Arial" )) + (text "bl0[1..0]" (rect 0 0 29 12)(font "Arial" )) + (text "bl0[1..0]" (rect 21 107 50 119)(font "Arial" )) (line (pt 0 112)(pt 16 112)(line_width 3)) ) (port (pt 0 128) (input) - (text "we1" (rect 0 0 14 12)(font "Arial" )) - (text "we1" (rect 21 123 35 135)(font "Arial" )) - (line (pt 0 128)(pt 16 128)(line_width 1)) + (text "address1[7..0]" (rect 0 0 55 12)(font "Arial" )) + (text "address1[7..0]" (rect 21 123 76 135)(font "Arial" )) + (line (pt 0 128)(pt 16 128)(line_width 3)) ) (port (pt 0 144) (input) - (text "oe1" (rect 0 0 12 12)(font "Arial" )) - (text "oe1" (rect 21 139 33 151)(font "Arial" )) + (text "we1" (rect 0 0 14 12)(font "Arial" )) + (text "we1" (rect 21 139 35 151)(font "Arial" )) (line (pt 0 144)(pt 16 144)(line_width 1)) ) (port (pt 0 160) (input) - (text "ce1" (rect 0 0 12 12)(font "Arial" )) - (text "ce1" (rect 21 155 33 167)(font "Arial" )) + (text "oe1" (rect 0 0 12 12)(font "Arial" )) + (text "oe1" (rect 21 155 33 167)(font "Arial" )) (line (pt 0 160)(pt 16 160)(line_width 1)) ) + (port + (pt 0 176) + (input) + (text "ce1" (rect 0 0 12 12)(font "Arial" )) + (text "ce1" (rect 21 171 33 183)(font "Arial" )) + (line (pt 0 176)(pt 16 176)(line_width 1)) + ) (port (pt 200 32) (bidir) @@ -96,8 +103,8 @@ applicable agreement for further details. (port (pt 200 48) (bidir) - (text "data1[7..0]" (rect 0 0 40 12)(font "Arial" )) - (text "data1[7..0]" (rect 139 43 179 55)(font "Arial" )) + (text "data1[31..0]" (rect 0 0 43 12)(font "Arial" )) + (text "data1[31..0]" (rect 136 43 179 55)(font "Arial" )) (line (pt 200 48)(pt 184 48)(line_width 3)) ) (drawing diff --git a/MainController/RAM.vhd b/MainController/RAM.vhd index 5b01e8e..dd06e61 100644 --- a/MainController/RAM.vhd +++ b/MainController/RAM.vhd @@ -2,8 +2,13 @@ library ieee; use ieee.std_logic_1164.all; use ieee.std_logic_unsigned.all; --- Блок памяти способен асинхронно принимать данные с двух устройств одновременно - +-- Блок памяти (8х32) способен принимать данные с двух устройств одновременно; +-- Со стороны контроллера - постфикс "0" - данные грузятся в ячейку памяти четырьмя транзакциями, поскольку шина данных здесь всего 8 бит; +-- Со стороны ПЛИС - постфикс "1" - данные грузятся за одну транзакцию (шина данных 32х разрядная); +-- Для работы с контроллером дополнительно введены два бита BL для определения с какой частью ячейки памяти работаем; +-- Когда в комментариях говорю "со стороны ПЛИС" - это значит, что есть IP блок диспетчеризации, +-- который получает свежайшие данные от остальных IP блоков на ПЛИС, создает приоритетную очередь и грузит эти данные в ячейки памяти; + entity RAM is port( clk : in std_logic; @@ -13,8 +18,9 @@ entity RAM is we0 : in std_logic; oe0 : in std_logic; ce0 : in std_logic; + bl0 : in std_logic_vector(1 downto 0); - data1 : inout std_logic_vector(7 downto 0); + data1 : inout std_logic_vector(31 downto 0); address1 : in std_logic_vector(7 downto 0); we1 : in std_logic; oe1 : in std_logic; @@ -24,7 +30,7 @@ end entity; architecture behavorial of RAM is -type mem is array (255 downto 0) of std_logic_vector(7 downto 0); +type mem is array (255 downto 0) of std_logic_vector(31 downto 0); signal memory : mem; signal we0Prev : std_logic := '0'; @@ -41,26 +47,28 @@ signal stateMM1 : MemoryMachine := Waiting; begin - -- автомат для работы с памятью со стороны контроллера process(clk) - variable addr : integer range 0 to 255 := 0; + variable addr0 : integer range 0 to 255 := 0; + variable part0 : integer range 0 to 3 := 0; + + variable addr1 : integer range 0 to 255 := 0; begin if rising_edge(clk) then case stateMM0 is when Waiting => if ce0 = '0' and ce0Prev = '1' then - addr := conv_integer(address0); + addr0 := conv_integer(address0); + part0 := conv_integer(bl0); if oe0 = '0' then -- этот if можно перенести на следующий такт, чтобы успела установиться ножка output enable stateMM0 <= Reading; else stateMM0 <= Writing; end if; else - addr := 0; data0 <= (others => 'Z'); end if; when Reading => - data0 <= memory(addr); + data0 <= memory(addr0)(7 + part0*8 downto part0*8); if oe0 = '1' and oe0Prev = '0' then stateMM0 <= Waiting; elsif ce0 = '1' then @@ -68,39 +76,32 @@ begin end if; when Writing => if we0 = '0' and we0Prev = '1' then - memory(addr) <= data0; + memory(addr0)(7 + part0*8 downto part0*8) <= data0; stateMM0 <= Waiting; elsif ce0 = '1' then stateMM0 <= Waiting; end if; when others => end case; + oe0Prev <= oe0; ce0Prev <= ce0; we0Prev <= we0; - end if; - end process; - - -- автомат для работы с памятью со стороны контроллера - process(clk) - variable addr : integer range 0 to 255 := 0; - begin - if rising_edge(clk) then + case stateMM1 is when Waiting => if ce1 = '0' and ce1Prev = '1' then - addr := conv_integer(address1); + addr1 := conv_integer(address1); if oe1 = '0' then -- этот if можно перенести на следующий такт, чтобы успела установиться ножка output enable stateMM1 <= Reading; else stateMM1 <= Writing; end if; else - addr := 0; data1 <= (others => 'Z'); end if; when Reading => - data1 <= memory(addr); + data1 <= memory(addr1); if oe1 = '1' and oe1Prev = '0' then stateMM1 <= Waiting; elsif ce0 = '1' then @@ -108,20 +109,20 @@ begin end if; when Writing => if we1 = '0' and we1Prev = '1' then - memory(addr) <= data1; + memory(addr1) <= data1; stateMM1 <= Waiting; elsif ce0 = '1' then stateMM1 <= Waiting; end if; when others => end case; + oe1Prev <= oe1; ce1Prev <= ce1; we1Prev <= we1; end if; end process; - - + -- process(clk) -- variable addr : integer range 0 to 255; -- begin diff --git a/MainController/output_files/MainController.fit.rpt b/MainController/output_files/MainController.fit.rpt index 84b636f..a16706b 100644 --- a/MainController/output_files/MainController.fit.rpt +++ b/MainController/output_files/MainController.fit.rpt @@ -1,5 +1,5 @@ Fitter report for MainController -Tue Mar 12 17:46:50 2024 +Thu Mar 14 11:15:33 2024 Quartus II 64-Bit Version 13.1.0 Build 162 10/23/2013 SJ Full Version @@ -31,20 +31,19 @@ Quartus II 64-Bit Version 13.1.0 Build 162 10/23/2013 SJ Full Version 23. Control Signals 24. Global & Other Fast Signals 25. Non-Global High Fan-Out Signals - 26. Fitter RAM Summary - 27. Routing Usage Summary - 28. LAB Logic Elements - 29. LAB-wide Signals - 30. LAB Signals Sourced - 31. LAB Signals Sourced Out - 32. LAB Distinct Inputs - 33. I/O Rules Summary - 34. I/O Rules Details - 35. I/O Rules Matrix - 36. Fitter Device Options - 37. Operating Settings and Conditions - 38. Fitter Messages - 39. Fitter Suppressed Messages + 26. Routing Usage Summary + 27. LAB Logic Elements + 28. LAB-wide Signals + 29. LAB Signals Sourced + 30. LAB Signals Sourced Out + 31. LAB Distinct Inputs + 32. I/O Rules Summary + 33. I/O Rules Details + 34. I/O Rules Matrix + 35. Fitter Device Options + 36. Operating Settings and Conditions + 37. Fitter Messages + 38. Fitter Suppressed Messages @@ -70,20 +69,20 @@ applicable agreement for further details. +----------------------------------------------------------------------------------+ ; Fitter Summary ; +------------------------------------+---------------------------------------------+ -; Fitter Status ; Successful - Tue Mar 12 17:46:50 2024 ; +; Fitter Status ; Successful - Thu Mar 14 11:15:33 2024 ; ; Quartus II 64-Bit Version ; 13.1.0 Build 162 10/23/2013 SJ Full Version ; ; Revision Name ; MainController ; ; Top-level Entity Name ; MainController ; ; Family ; Cyclone III ; ; Device ; EP3C25Q240C8 ; ; Timing Models ; Final ; -; Total logic elements ; 95 / 24,624 ( < 1 % ) ; -; Total combinational functions ; 67 / 24,624 ( < 1 % ) ; -; Dedicated logic registers ; 80 / 24,624 ( < 1 % ) ; -; Total registers ; 80 ; -; Total pins ; 23 / 149 ( 15 % ) ; +; Total logic elements ; 10,706 / 24,624 ( 43 % ) ; +; Total combinational functions ; 6,603 / 24,624 ( 27 % ) ; +; Dedicated logic registers ; 8,249 / 24,624 ( 33 % ) ; +; Total registers ; 8249 ; +; Total pins ; 25 / 149 ( 17 % ) ; ; Total virtual pins ; 0 ; -; Total memory bits ; 2,048 / 608,256 ( < 1 % ) ; +; Total memory bits ; 0 / 608,256 ( 0 % ) ; ; Embedded Multiplier 9-bit elements ; 0 / 132 ( 0 % ) ; ; Total PLLs ; 1 / 4 ( 25 % ) ; +------------------------------------+---------------------------------------------+ @@ -158,12 +157,12 @@ applicable agreement for further details. ; Number detected on machine ; 8 ; ; Maximum allowed ; 4 ; ; ; ; -; Average used ; 1.00 ; +; Average used ; 2.22 ; ; Maximum used ; 4 ; ; ; ; ; Usage by Processor ; % Time Used ; ; Processor 1 ; 100.0% ; -; Processors 2-4 ; < 0.1% ; +; Processors 2-4 ; 40.5% ; ; Processors 5-8 ; 0.0% ; +----------------------------+-------------+ @@ -187,19 +186,19 @@ applicable agreement for further details. +------------+------------------------+ -+--------------------------------------------------------------------------------------------------+ -; Incremental Compilation Preservation Summary ; -+---------------------+--------------------+----------------------------+--------------------------+ -; Type ; Total [A + B] ; From Design Partitions [A] ; From Rapid Recompile [B] ; -+---------------------+--------------------+----------------------------+--------------------------+ -; Placement (by node) ; ; ; ; -; -- Requested ; 0.00 % ( 0 / 224 ) ; 0.00 % ( 0 / 224 ) ; 0.00 % ( 0 / 224 ) ; -; -- Achieved ; 0.00 % ( 0 / 224 ) ; 0.00 % ( 0 / 224 ) ; 0.00 % ( 0 / 224 ) ; -; ; ; ; ; -; Routing (by net) ; ; ; ; -; -- Requested ; 0.00 % ( 0 / 0 ) ; 0.00 % ( 0 / 0 ) ; 0.00 % ( 0 / 0 ) ; -; -- Achieved ; 0.00 % ( 0 / 0 ) ; 0.00 % ( 0 / 0 ) ; 0.00 % ( 0 / 0 ) ; -+---------------------+--------------------+----------------------------+--------------------------+ ++----------------------------------------------------------------------------------------------------+ +; Incremental Compilation Preservation Summary ; ++---------------------+----------------------+----------------------------+--------------------------+ +; Type ; Total [A + B] ; From Design Partitions [A] ; From Rapid Recompile [B] ; ++---------------------+----------------------+----------------------------+--------------------------+ +; Placement (by node) ; ; ; ; +; -- Requested ; 0.00 % ( 0 / 14925 ) ; 0.00 % ( 0 / 14925 ) ; 0.00 % ( 0 / 14925 ) ; +; -- Achieved ; 0.00 % ( 0 / 14925 ) ; 0.00 % ( 0 / 14925 ) ; 0.00 % ( 0 / 14925 ) ; +; ; ; ; ; +; Routing (by net) ; ; ; ; +; -- Requested ; 0.00 % ( 0 / 0 ) ; 0.00 % ( 0 / 0 ) ; 0.00 % ( 0 / 0 ) ; +; -- Achieved ; 0.00 % ( 0 / 0 ) ; 0.00 % ( 0 / 0 ) ; 0.00 % ( 0 / 0 ) ; ++---------------------+----------------------+----------------------------+--------------------------+ +----------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------+ @@ -217,7 +216,7 @@ applicable agreement for further details. +--------------------------------+-----------------------+-------------------------+-------------------+---------------------+-------+ ; Partition Name ; Preservation Achieved ; Preservation Level Used ; Netlist Type Used ; Preservation Method ; Notes ; +--------------------------------+-----------------------+-------------------------+-------------------+---------------------+-------+ -; Top ; 0.00 % ( 0 / 211 ) ; N/A ; Source File ; N/A ; ; +; Top ; 0.00 % ( 0 / 14912 ) ; N/A ; Source File ; N/A ; ; ; hard_block:auto_generated_inst ; 0.00 % ( 0 / 13 ) ; N/A ; Source File ; N/A ; ; +--------------------------------+-----------------------+-------------------------+-------------------+---------------------+-------+ @@ -228,128 +227,127 @@ applicable agreement for further details. The pin-out file can be found in D:/GITEA/altera/MainController/output_files/MainController.pin. -+-------------------------------------------------------------------------+ -; Fitter Resource Usage Summary ; -+---------------------------------------------+---------------------------+ -; Resource ; Usage ; -+---------------------------------------------+---------------------------+ -; Total logic elements ; 95 / 24,624 ( < 1 % ) ; -; -- Combinational with no register ; 15 ; -; -- Register only ; 28 ; -; -- Combinational with a register ; 52 ; -; ; ; -; Logic element usage by number of LUT inputs ; ; -; -- 4 input functions ; 20 ; -; -- 3 input functions ; 20 ; -; -- <=2 input functions ; 27 ; -; -- Register only ; 28 ; -; ; ; -; Logic elements by mode ; ; -; -- normal mode ; 44 ; -; -- arithmetic mode ; 23 ; -; ; ; -; Total registers* ; 80 / 25,294 ( < 1 % ) ; -; -- Dedicated logic registers ; 80 / 24,624 ( < 1 % ) ; -; -- I/O registers ; 0 / 670 ( 0 % ) ; -; ; ; -; Total LABs: partially or completely used ; 8 / 1,539 ( < 1 % ) ; -; Virtual pins ; 0 ; -; I/O pins ; 23 / 149 ( 15 % ) ; -; -- Clock pins ; 1 / 8 ( 13 % ) ; -; -- Dedicated input pins ; 0 / 9 ( 0 % ) ; -; ; ; -; Global signals ; 3 ; -; M9Ks ; 1 / 66 ( 2 % ) ; -; Total block memory bits ; 2,048 / 608,256 ( < 1 % ) ; -; Total block memory implementation bits ; 9,216 / 608,256 ( 2 % ) ; -; Embedded Multiplier 9-bit elements ; 0 / 132 ( 0 % ) ; -; PLLs ; 1 / 4 ( 25 % ) ; -; Global clocks ; 3 / 20 ( 15 % ) ; -; JTAGs ; 0 / 1 ( 0 % ) ; -; CRC blocks ; 0 / 1 ( 0 % ) ; -; ASMI blocks ; 0 / 1 ( 0 % ) ; -; Impedance control blocks ; 0 / 4 ( 0 % ) ; -; Average interconnect usage (total/H/V) ; 0% / 0% / 0% ; -; Peak interconnect usage (total/H/V) ; 2% / 2% / 2% ; -; Maximum fan-out ; 81 ; -; Highest non-global fan-out ; 30 ; -; Total fan-out ; 516 ; -; Average fan-out ; 2.20 ; -+---------------------------------------------+---------------------------+ ++------------------------------------------------------------------------+ +; Fitter Resource Usage Summary ; ++---------------------------------------------+--------------------------+ +; Resource ; Usage ; ++---------------------------------------------+--------------------------+ +; Total logic elements ; 10,706 / 24,624 ( 43 % ) ; +; -- Combinational with no register ; 2457 ; +; -- Register only ; 4103 ; +; -- Combinational with a register ; 4146 ; +; ; ; +; Logic element usage by number of LUT inputs ; ; +; -- 4 input functions ; 5550 ; +; -- 3 input functions ; 260 ; +; -- <=2 input functions ; 793 ; +; -- Register only ; 4103 ; +; ; ; +; Logic elements by mode ; ; +; -- normal mode ; 6580 ; +; -- arithmetic mode ; 23 ; +; ; ; +; Total registers* ; 8,249 / 25,294 ( 33 % ) ; +; -- Dedicated logic registers ; 8,249 / 24,624 ( 33 % ) ; +; -- I/O registers ; 0 / 670 ( 0 % ) ; +; ; ; +; Total LABs: partially or completely used ; 854 / 1,539 ( 55 % ) ; +; Virtual pins ; 0 ; +; I/O pins ; 25 / 149 ( 17 % ) ; +; -- Clock pins ; 1 / 8 ( 13 % ) ; +; -- Dedicated input pins ; 0 / 9 ( 0 % ) ; +; ; ; +; Global signals ; 3 ; +; M9Ks ; 0 / 66 ( 0 % ) ; +; Total block memory bits ; 0 / 608,256 ( 0 % ) ; +; Total block memory implementation bits ; 0 / 608,256 ( 0 % ) ; +; Embedded Multiplier 9-bit elements ; 0 / 132 ( 0 % ) ; +; PLLs ; 1 / 4 ( 25 % ) ; +; Global clocks ; 3 / 20 ( 15 % ) ; +; JTAGs ; 0 / 1 ( 0 % ) ; +; CRC blocks ; 0 / 1 ( 0 % ) ; +; ASMI blocks ; 0 / 1 ( 0 % ) ; +; Impedance control blocks ; 0 / 4 ( 0 % ) ; +; Average interconnect usage (total/H/V) ; 30% / 29% / 32% ; +; Peak interconnect usage (total/H/V) ; 65% / 61% / 69% ; +; Maximum fan-out ; 8249 ; +; Highest non-global fan-out ; 1025 ; +; Total fan-out ; 51133 ; +; Average fan-out ; 3.06 ; ++---------------------------------------------+--------------------------+ * Register count does not include registers inside RAM blocks or DSP blocks. -+-----------------------------------------------------------------------------------------------------+ -; Fitter Partition Statistics ; -+---------------------------------------------+----------------------+--------------------------------+ -; Statistic ; Top ; hard_block:auto_generated_inst ; -+---------------------------------------------+----------------------+--------------------------------+ -; Difficulty Clustering Region ; Low ; Low ; -; ; ; ; -; Total logic elements ; 95 / 24624 ( < 1 % ) ; 0 / 24624 ( 0 % ) ; -; -- Combinational with no register ; 15 ; 0 ; -; -- Register only ; 28 ; 0 ; -; -- Combinational with a register ; 52 ; 0 ; -; ; ; ; -; Logic element usage by number of LUT inputs ; ; ; -; -- 4 input functions ; 20 ; 0 ; -; -- 3 input functions ; 20 ; 0 ; -; -- <=2 input functions ; 27 ; 0 ; -; -- Register only ; 28 ; 0 ; -; ; ; ; -; Logic elements by mode ; ; ; -; -- normal mode ; 44 ; 0 ; -; -- arithmetic mode ; 23 ; 0 ; -; ; ; ; -; Total registers ; 80 ; 0 ; -; -- Dedicated logic registers ; 80 / 24624 ( < 1 % ) ; 0 / 24624 ( 0 % ) ; -; -- I/O registers ; 0 ; 0 ; -; ; ; ; -; Total LABs: partially or completely used ; 8 / 1539 ( < 1 % ) ; 0 / 1539 ( 0 % ) ; -; ; ; ; -; Virtual pins ; 0 ; 0 ; -; I/O pins ; 23 ; 0 ; -; Embedded Multiplier 9-bit elements ; 0 / 132 ( 0 % ) ; 0 / 132 ( 0 % ) ; -; Total memory bits ; 2048 ; 0 ; -; Total RAM block bits ; 9216 ; 0 ; -; PLL ; 0 / 4 ( 0 % ) ; 1 / 4 ( 25 % ) ; -; M9K ; 1 / 66 ( 1 % ) ; 0 / 66 ( 0 % ) ; -; Clock control block ; 1 / 24 ( 4 % ) ; 2 / 24 ( 8 % ) ; -; ; ; ; -; Connections ; ; ; -; -- Input Connections ; 10 ; 1 ; -; -- Registered Input Connections ; 0 ; 0 ; -; -- Output Connections ; 9 ; 2 ; -; -- Registered Output Connections ; 0 ; 0 ; -; ; ; ; -; Internal Connections ; ; ; -; -- Total Connections ; 508 ; 11 ; -; -- Registered Connections ; 161 ; 0 ; -; ; ; ; -; External Connections ; ; ; -; -- Top ; 16 ; 3 ; -; -- hard_block:auto_generated_inst ; 3 ; 0 ; -; ; ; ; -; Partition Interface ; ; ; -; -- Input Ports ; 12 ; 1 ; -; -- Output Ports ; 3 ; 2 ; -; -- Bidir Ports ; 8 ; 0 ; -; ; ; ; -; Registered Ports ; ; ; -; -- Registered Input Ports ; 0 ; 0 ; -; -- Registered Output Ports ; 0 ; 0 ; -; ; ; ; -; Port Connectivity ; ; ; -; -- Input Ports driven by GND ; 0 ; 0 ; -; -- Output Ports driven by GND ; 0 ; 0 ; -; -- Input Ports driven by VCC ; 0 ; 0 ; -; -- Output Ports driven by VCC ; 0 ; 0 ; -; -- Input Ports with no Source ; 0 ; 0 ; -; -- Output Ports with no Source ; 0 ; 0 ; -; -- Input Ports with no Fanout ; 0 ; 0 ; -; -- Output Ports with no Fanout ; 0 ; 0 ; -+---------------------------------------------+----------------------+--------------------------------+ ++-------------------------------------------------------------------------------------------------------+ +; Fitter Partition Statistics ; ++---------------------------------------------+------------------------+--------------------------------+ +; Statistic ; Top ; hard_block:auto_generated_inst ; ++---------------------------------------------+------------------------+--------------------------------+ +; Difficulty Clustering Region ; Low ; Low ; +; ; ; ; +; Total logic elements ; 10706 / 24624 ( 43 % ) ; 0 / 24624 ( 0 % ) ; +; -- Combinational with no register ; 2457 ; 0 ; +; -- Register only ; 4103 ; 0 ; +; -- Combinational with a register ; 4146 ; 0 ; +; ; ; ; +; Logic element usage by number of LUT inputs ; ; ; +; -- 4 input functions ; 5550 ; 0 ; +; -- 3 input functions ; 260 ; 0 ; +; -- <=2 input functions ; 793 ; 0 ; +; -- Register only ; 4103 ; 0 ; +; ; ; ; +; Logic elements by mode ; ; ; +; -- normal mode ; 6580 ; 0 ; +; -- arithmetic mode ; 23 ; 0 ; +; ; ; ; +; Total registers ; 8249 ; 0 ; +; -- Dedicated logic registers ; 8249 / 24624 ( 33 % ) ; 0 / 24624 ( 0 % ) ; +; -- I/O registers ; 0 ; 0 ; +; ; ; ; +; Total LABs: partially or completely used ; 854 / 1539 ( 55 % ) ; 0 / 1539 ( 0 % ) ; +; ; ; ; +; Virtual pins ; 0 ; 0 ; +; I/O pins ; 25 ; 0 ; +; Embedded Multiplier 9-bit elements ; 0 / 132 ( 0 % ) ; 0 / 132 ( 0 % ) ; +; Total memory bits ; 0 ; 0 ; +; Total RAM block bits ; 0 ; 0 ; +; PLL ; 0 / 4 ( 0 % ) ; 1 / 4 ( 25 % ) ; +; Clock control block ; 1 / 24 ( 4 % ) ; 2 / 24 ( 8 % ) ; +; ; ; ; +; Connections ; ; ; +; -- Input Connections ; 10 ; 1 ; +; -- Registered Input Connections ; 0 ; 0 ; +; -- Output Connections ; 9 ; 2 ; +; -- Registered Output Connections ; 0 ; 0 ; +; ; ; ; +; Internal Connections ; ; ; +; -- Total Connections ; 51125 ; 11 ; +; -- Registered Connections ; 16693 ; 0 ; +; ; ; ; +; External Connections ; ; ; +; -- Top ; 16 ; 3 ; +; -- hard_block:auto_generated_inst ; 3 ; 0 ; +; ; ; ; +; Partition Interface ; ; ; +; -- Input Ports ; 14 ; 1 ; +; -- Output Ports ; 3 ; 2 ; +; -- Bidir Ports ; 8 ; 0 ; +; ; ; ; +; Registered Ports ; ; ; +; -- Registered Input Ports ; 0 ; 0 ; +; -- Registered Output Ports ; 0 ; 0 ; +; ; ; ; +; Port Connectivity ; ; ; +; -- Input Ports driven by GND ; 0 ; 0 ; +; -- Output Ports driven by GND ; 0 ; 0 ; +; -- Input Ports driven by VCC ; 0 ; 0 ; +; -- Output Ports driven by VCC ; 0 ; 0 ; +; -- Input Ports with no Source ; 0 ; 0 ; +; -- Output Ports with no Source ; 0 ; 0 ; +; -- Input Ports with no Fanout ; 0 ; 0 ; +; -- Output Ports with no Fanout ; 0 ; 0 ; ++---------------------------------------------+------------------------+--------------------------------+ +---------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------+ @@ -365,8 +363,10 @@ The pin-out file can be found in D:/GITEA/altera/MainController/output_files/Mai ; Address[5] ; 235 ; 8 ; 7 ; 34 ; 14 ; 1 ; 0 ; no ; no ; no ; yes ; no ; Off ; 3.3-V LVTTL ; -- ; User ; ; Address[6] ; 230 ; 8 ; 14 ; 34 ; 7 ; 1 ; 0 ; no ; no ; no ; yes ; no ; Off ; 3.3-V LVTTL ; -- ; User ; ; Address[7] ; 195 ; 7 ; 38 ; 34 ; 0 ; 1 ; 0 ; no ; no ; no ; yes ; no ; Off ; 3.3-V LVTTL ; -- ; User ; -; FPGA_CLK ; 31 ; 1 ; 0 ; 16 ; 0 ; 82 ; 0 ; yes ; no ; no ; yes ; no ; Off ; 3.3-V LVTTL ; -- ; User ; -; nCE ; 219 ; 8 ; 20 ; 34 ; 14 ; 15 ; 0 ; no ; no ; no ; yes ; no ; Off ; 3.3-V LVTTL ; -- ; User ; +; FPGA_CLK ; 31 ; 1 ; 0 ; 16 ; 0 ; 8250 ; 0 ; yes ; no ; no ; yes ; no ; Off ; 3.3-V LVTTL ; -- ; User ; +; nBL[0] ; 200 ; 7 ; 34 ; 34 ; 0 ; 1 ; 0 ; no ; no ; no ; yes ; no ; Off ; 2.5 V ; -- ; User ; +; nBL[1] ; 197 ; 7 ; 38 ; 34 ; 21 ; 1 ; 0 ; no ; no ; no ; yes ; no ; Off ; 2.5 V ; -- ; User ; +; nCE ; 219 ; 8 ; 20 ; 34 ; 14 ; 6 ; 0 ; no ; no ; no ; yes ; no ; Off ; 3.3-V LVTTL ; -- ; User ; ; nOE ; 217 ; 8 ; 20 ; 34 ; 0 ; 4 ; 0 ; no ; no ; no ; yes ; no ; Off ; 3.3-V LVTTL ; -- ; User ; ; nWE ; 218 ; 8 ; 20 ; 34 ; 7 ; 2 ; 0 ; no ; no ; no ; yes ; no ; Off ; 3.3-V LVTTL ; -- ; User ; +------------+-------+----------+--------------+--------------+--------------+-----------------------+--------------------+--------+----------------+---------------+-----------------+----------+--------------+--------------+---------------------------+----------------------+ @@ -388,14 +388,14 @@ The pin-out file can be found in D:/GITEA/altera/MainController/output_files/Mai +---------+-------+----------+--------------+--------------+--------------+-----------------------+--------------------+--------+----------------+-----------------+------------------------+---------------+-----------+-----------------+------------+----------+--------------+--------------+------------------+--------------------+---------------------------+----------------------+------+----------------------------------+---------------------+ ; Name ; Pin # ; I/O Bank ; X coordinate ; Y coordinate ; Z coordinate ; Combinational Fan-Out ; Registered Fan-Out ; Global ; Input Register ; Output Register ; Output Enable Register ; Power Up High ; Slew Rate ; PCI I/O Enabled ; Open Drain ; Bus Hold ; Weak Pull Up ; I/O Standard ; Current Strength ; Output Termination ; Termination Control Block ; Location assigned by ; Load ; Output Enable Source ; Output Enable Group ; +---------+-------+----------+--------------+--------------+--------------+-----------------------+--------------------+--------+----------------+-----------------+------------------------+---------------+-----------+-----------------+------------+----------+--------------+--------------+------------------+--------------------+---------------------------+----------------------+------+----------------------------------+---------------------+ -; Data[0] ; 194 ; 7 ; 40 ; 34 ; 7 ; 2 ; 0 ; no ; no ; no ; no ; no ; 2 ; yes ; no ; no ; Off ; 3.3-V LVTTL ; 8mA ; Off ; -- ; User ; 0 pF ; RAM:inst3|data0[0]~en (inverted) ; - ; -; Data[1] ; 189 ; 7 ; 45 ; 34 ; 21 ; 2 ; 0 ; no ; no ; no ; no ; no ; 2 ; yes ; no ; no ; Off ; 3.3-V LVTTL ; 8mA ; Off ; -- ; User ; 0 pF ; RAM:inst3|data0[1]~en (inverted) ; - ; -; Data[2] ; 188 ; 7 ; 45 ; 34 ; 14 ; 2 ; 0 ; no ; no ; no ; no ; no ; 2 ; yes ; no ; no ; Off ; 3.3-V LVTTL ; 8mA ; Off ; -- ; User ; 0 pF ; RAM:inst3|data0[2]~en (inverted) ; - ; -; Data[3] ; 187 ; 7 ; 45 ; 34 ; 7 ; 2 ; 0 ; no ; no ; no ; no ; no ; 2 ; yes ; no ; no ; Off ; 3.3-V LVTTL ; 8mA ; Off ; -- ; User ; 0 pF ; RAM:inst3|data0[3]~en (inverted) ; - ; -; Data[4] ; 226 ; 8 ; 16 ; 34 ; 14 ; 2 ; 0 ; no ; no ; no ; no ; no ; 2 ; yes ; no ; no ; Off ; 3.3-V LVTTL ; 8mA ; Off ; -- ; User ; 0 pF ; RAM:inst3|data0[4]~en (inverted) ; - ; -; Data[5] ; 224 ; 8 ; 16 ; 34 ; 0 ; 2 ; 0 ; no ; no ; no ; no ; no ; 2 ; yes ; no ; no ; Off ; 3.3-V LVTTL ; 8mA ; Off ; -- ; User ; 0 pF ; RAM:inst3|data0[5]~en (inverted) ; - ; -; Data[6] ; 223 ; 8 ; 18 ; 34 ; 21 ; 2 ; 0 ; no ; no ; no ; no ; no ; 2 ; yes ; no ; no ; Off ; 3.3-V LVTTL ; 8mA ; Off ; -- ; User ; 0 pF ; RAM:inst3|data0[6]~en (inverted) ; - ; -; Data[7] ; 221 ; 8 ; 18 ; 34 ; 0 ; 2 ; 0 ; no ; no ; no ; no ; no ; 2 ; yes ; no ; no ; Off ; 3.3-V LVTTL ; 8mA ; Off ; -- ; User ; 0 pF ; RAM:inst3|data0[7]~en (inverted) ; - ; +; Data[0] ; 194 ; 7 ; 40 ; 34 ; 7 ; 1024 ; 0 ; no ; no ; no ; no ; no ; 2 ; yes ; no ; no ; Off ; 3.3-V LVTTL ; 8mA ; Off ; -- ; User ; 0 pF ; RAM:inst1|data0[0]~en (inverted) ; - ; +; Data[1] ; 189 ; 7 ; 45 ; 34 ; 21 ; 1024 ; 0 ; no ; no ; no ; no ; no ; 2 ; yes ; no ; no ; Off ; 3.3-V LVTTL ; 8mA ; Off ; -- ; User ; 0 pF ; RAM:inst1|data0[1]~en (inverted) ; - ; +; Data[2] ; 188 ; 7 ; 45 ; 34 ; 14 ; 1024 ; 0 ; no ; no ; no ; no ; no ; 2 ; yes ; no ; no ; Off ; 3.3-V LVTTL ; 8mA ; Off ; -- ; User ; 0 pF ; RAM:inst1|data0[2]~en (inverted) ; - ; +; Data[3] ; 187 ; 7 ; 45 ; 34 ; 7 ; 1024 ; 0 ; no ; no ; no ; no ; no ; 2 ; yes ; no ; no ; Off ; 3.3-V LVTTL ; 8mA ; Off ; -- ; User ; 0 pF ; RAM:inst1|data0[3]~en (inverted) ; - ; +; Data[4] ; 226 ; 8 ; 16 ; 34 ; 14 ; 1024 ; 0 ; no ; no ; no ; no ; no ; 2 ; yes ; no ; no ; Off ; 3.3-V LVTTL ; 8mA ; Off ; -- ; User ; 0 pF ; RAM:inst1|data0[4]~en (inverted) ; - ; +; Data[5] ; 224 ; 8 ; 16 ; 34 ; 0 ; 1024 ; 0 ; no ; no ; no ; no ; no ; 2 ; yes ; no ; no ; Off ; 3.3-V LVTTL ; 8mA ; Off ; -- ; User ; 0 pF ; RAM:inst1|data0[5]~en (inverted) ; - ; +; Data[6] ; 223 ; 8 ; 18 ; 34 ; 21 ; 769 ; 0 ; no ; no ; no ; no ; no ; 2 ; yes ; no ; no ; Off ; 3.3-V LVTTL ; 8mA ; Off ; -- ; User ; 0 pF ; RAM:inst1|data0[6]~en (inverted) ; - ; +; Data[7] ; 221 ; 8 ; 18 ; 34 ; 0 ; 1025 ; 0 ; no ; no ; no ; no ; no ; 2 ; yes ; no ; no ; Off ; 3.3-V LVTTL ; 8mA ; Off ; -- ; User ; 0 pF ; RAM:inst1|data0[7]~en (inverted) ; - ; +---------+-------+----------+--------------+--------------+--------------+-----------------------+--------------------+--------+----------------+-----------------+------------------------+---------------+-----------+-----------------+------------+----------+--------------+--------------+------------------+--------------------+---------------------------+----------------------+------+----------------------------------+---------------------+ @@ -421,6 +421,8 @@ The pin-out file can be found in D:/GITEA/altera/MainController/output_files/Mai ; 168 ; DIFFIO_R3p, nOE ; Use as regular IO ; FPGA_LED_3 ; Dual Purpose Pin ; ; 194 ; DIFFIO_T20p, PADD0 ; Use as regular IO ; Data[0] ; Dual Purpose Pin ; ; 196 ; DIFFIO_T19n, PADD1 ; Use as regular IO ; Address[0] ; Dual Purpose Pin ; +; 197 ; DIFFIO_T19p, PADD2 ; Use as regular IO ; nBL[1] ; Dual Purpose Pin ; +; 200 ; DIFFIO_T17p, PADD4, DQS2T/CQ3T,DPCLK8 ; Use as regular IO ; nBL[0] ; Dual Purpose Pin ; ; 218 ; DIFFIO_T10n, DATA2 ; Use as regular IO ; nWE ; Dual Purpose Pin ; ; 219 ; DIFFIO_T10p, DATA3 ; Use as regular IO ; nCE ; Dual Purpose Pin ; ; 221 ; DIFFIO_T9p, DATA4 ; Use as regular IO ; Data[7] ; Dual Purpose Pin ; @@ -443,7 +445,7 @@ The pin-out file can be found in D:/GITEA/altera/MainController/output_files/Mai ; 4 ; 0 / 22 ( 0 % ) ; 2.5V ; -- ; ; 5 ; 0 / 19 ( 0 % ) ; 2.5V ; -- ; ; 6 ; 4 / 15 ( 27 % ) ; 3.3V ; -- ; -; 7 ; 6 / 20 ( 30 % ) ; 3.3V ; -- ; +; 7 ; 8 / 20 ( 40 % ) ; 3.3V ; -- ; ; 8 ; 13 / 22 ( 59 % ) ; 3.3V ; -- ; +----------+------------------+---------------+--------------+ @@ -650,10 +652,10 @@ The pin-out file can be found in D:/GITEA/altera/MainController/output_files/Mai ; 194 ; 189 ; 7 ; Data[0] ; bidir ; 3.3-V LVTTL ; ; Column I/O ; Y ; no ; Off ; ; 195 ; 190 ; 7 ; Address[7] ; input ; 3.3-V LVTTL ; ; Column I/O ; Y ; no ; Off ; ; 196 ; 191 ; 7 ; Address[0] ; input ; 3.3-V LVTTL ; ; Column I/O ; Y ; no ; Off ; -; 197 ; 192 ; 7 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; no ; On ; +; 197 ; 192 ; 7 ; nBL[1] ; input ; 2.5 V ; ; Column I/O ; Y ; no ; Off ; ; 198 ; ; ; VCCINT ; power ; ; 1.2V ; -- ; ; -- ; -- ; ; 199 ; ; ; GND ; gnd ; ; ; -- ; ; -- ; -- ; -; 200 ; 197 ; 7 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; no ; On ; +; 200 ; 197 ; 7 ; nBL[0] ; input ; 2.5 V ; ; Column I/O ; Y ; no ; Off ; ; 201 ; 198 ; 7 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; no ; On ; ; 202 ; 200 ; 7 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; no ; On ; ; 203 ; 201 ; 7 ; RESERVED_INPUT_WITH_WEAK_PULLUP ; ; ; ; Column I/O ; ; no ; On ; @@ -749,20 +751,18 @@ Note: Pin directions (input, output or bidir) are based on device operating in u +-----------------------------------------------------------------------------------------+--------------+------+-----+------------------+-------------+------------------+------------+---------+---------------+------------+---------------+---------+---------+--------------------------------------------------+ -+----------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------+ -; Fitter Resource Utilization by Entity ; -+--------------------------------------------+-------------+---------------------------+---------------+-------------+------+--------------+---------+-----------+------+--------------+--------------+-------------------+------------------+----------------------------------------------------------------------------------------+--------------+ -; Compilation Hierarchy Node ; Logic Cells ; Dedicated Logic Registers ; I/O Registers ; Memory Bits ; M9Ks ; DSP Elements ; DSP 9x9 ; DSP 18x18 ; Pins ; Virtual Pins ; LUT-Only LCs ; Register-Only LCs ; LUT/Register LCs ; Full Hierarchy Name ; Library Name ; -+--------------------------------------------+-------------+---------------------------+---------------+-------------+------+--------------+---------+-----------+------+--------------+--------------+-------------------+------------------+----------------------------------------------------------------------------------------+--------------+ -; |MainController ; 95 (0) ; 80 (0) ; 0 (0) ; 2048 ; 1 ; 0 ; 0 ; 0 ; 23 ; 0 ; 15 (0) ; 28 (0) ; 52 (0) ; |MainController ; work ; -; |AlteraPLL:inst| ; 0 (0) ; 0 (0) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 (0) ; 0 (0) ; 0 (0) ; |MainController|AlteraPLL:inst ; work ; -; |altpll:altpll_component| ; 0 (0) ; 0 (0) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 (0) ; 0 (0) ; 0 (0) ; |MainController|AlteraPLL:inst|altpll:altpll_component ; work ; -; |AlteraPLL_altpll:auto_generated| ; 0 (0) ; 0 (0) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 (0) ; 0 (0) ; 0 (0) ; |MainController|AlteraPLL:inst|altpll:altpll_component|AlteraPLL_altpll:auto_generated ; work ; -; |LedBlink:inst2| ; 36 (36) ; 25 (25) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 11 (11) ; 0 (0) ; 25 (25) ; |MainController|LedBlink:inst2 ; work ; -; |RAM:inst3| ; 59 (59) ; 55 (55) ; 0 (0) ; 2048 ; 1 ; 0 ; 0 ; 0 ; 0 ; 0 ; 4 (4) ; 28 (28) ; 27 (27) ; |MainController|RAM:inst3 ; work ; -; |altsyncram:memory_rtl_0| ; 0 (0) ; 0 (0) ; 0 (0) ; 2048 ; 1 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 (0) ; 0 (0) ; 0 (0) ; |MainController|RAM:inst3|altsyncram:memory_rtl_0 ; work ; -; |altsyncram_8bi1:auto_generated| ; 0 (0) ; 0 (0) ; 0 (0) ; 2048 ; 1 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 (0) ; 0 (0) ; 0 (0) ; |MainController|RAM:inst3|altsyncram:memory_rtl_0|altsyncram_8bi1:auto_generated ; work ; -+--------------------------------------------+-------------+---------------------------+---------------+-------------+------+--------------+---------+-----------+------+--------------+--------------+-------------------+------------------+----------------------------------------------------------------------------------------+--------------+ ++------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------+ +; Fitter Resource Utilization by Entity ; ++--------------------------------------------+---------------+---------------------------+---------------+-------------+------+--------------+---------+-----------+------+--------------+--------------+-------------------+------------------+----------------------------------------------------------------------------------------+--------------+ +; Compilation Hierarchy Node ; Logic Cells ; Dedicated Logic Registers ; I/O Registers ; Memory Bits ; M9Ks ; DSP Elements ; DSP 9x9 ; DSP 18x18 ; Pins ; Virtual Pins ; LUT-Only LCs ; Register-Only LCs ; LUT/Register LCs ; Full Hierarchy Name ; Library Name ; ++--------------------------------------------+---------------+---------------------------+---------------+-------------+------+--------------+---------+-----------+------+--------------+--------------+-------------------+------------------+----------------------------------------------------------------------------------------+--------------+ +; |MainController ; 10706 (0) ; 8249 (0) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; 25 ; 0 ; 2457 (0) ; 4103 (0) ; 4146 (0) ; |MainController ; work ; +; |AlteraPLL:inst| ; 0 (0) ; 0 (0) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 (0) ; 0 (0) ; 0 (0) ; |MainController|AlteraPLL:inst ; work ; +; |altpll:altpll_component| ; 0 (0) ; 0 (0) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 (0) ; 0 (0) ; 0 (0) ; |MainController|AlteraPLL:inst|altpll:altpll_component ; work ; +; |AlteraPLL_altpll:auto_generated| ; 0 (0) ; 0 (0) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 (0) ; 0 (0) ; 0 (0) ; |MainController|AlteraPLL:inst|altpll:altpll_component|AlteraPLL_altpll:auto_generated ; work ; +; |LedBlink:inst2| ; 33 (33) ; 25 (25) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 8 (8) ; 0 (0) ; 25 (25) ; |MainController|LedBlink:inst2 ; work ; +; |RAM:inst1| ; 10673 (10673) ; 8224 (8224) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 2449 (2449) ; 4103 (4103) ; 4121 (4121) ; |MainController|RAM:inst1 ; work ; ++--------------------------------------------+---------------+---------------------------+---------------+-------------+------+--------------+---------+-----------+------+--------------+--------------+-------------------+------------------+----------------------------------------------------------------------------------------+--------------+ Note: For table entries with two numbers listed, the numbers in parentheses indicate the number of resources of the given type used by the specific entity alone. The numbers listed outside of parentheses indicate the total resources of the given type used by the specific entity and all of its sub-entities in the hierarchy. @@ -774,123 +774,9065 @@ Note: For table entries with two numbers listed, the numbers in parentheses indi ; FPGA_LED_1 ; Output ; -- ; -- ; -- ; -- ; -- ; ; FPGA_LED_2 ; Output ; -- ; -- ; -- ; -- ; -- ; ; FPGA_LED_3 ; Output ; -- ; -- ; -- ; -- ; -- ; -; Data[7] ; Bidir ; (6) 1314 ps ; -- ; -- ; -- ; -- ; -; Data[6] ; Bidir ; (6) 1314 ps ; -- ; -- ; -- ; -- ; +; Data[7] ; Bidir ; -- ; (6) 1314 ps ; -- ; -- ; -- ; +; Data[6] ; Bidir ; (6) 1314 ps ; (6) 1314 ps ; -- ; -- ; -- ; ; Data[5] ; Bidir ; (6) 1314 ps ; -- ; -- ; -- ; -- ; ; Data[4] ; Bidir ; (6) 1314 ps ; -- ; -- ; -- ; -- ; ; Data[3] ; Bidir ; (6) 1314 ps ; -- ; -- ; -- ; -- ; -; Data[2] ; Bidir ; (6) 1314 ps ; -- ; -- ; -- ; -- ; -; Data[1] ; Bidir ; -- ; (6) 1314 ps ; -- ; -- ; -- ; -; Data[0] ; Bidir ; -- ; (6) 1314 ps ; -- ; -- ; -- ; +; Data[2] ; Bidir ; -- ; (6) 1314 ps ; -- ; -- ; -- ; +; Data[1] ; Bidir ; (6) 1314 ps ; (6) 1314 ps ; -- ; -- ; -- ; +; Data[0] ; Bidir ; (6) 1314 ps ; -- ; -- ; -- ; -- ; ; FPGA_CLK ; Input ; (0) 0 ps ; -- ; -- ; -- ; -- ; -; nCE ; Input ; (6) 1314 ps ; -- ; -- ; -- ; -- ; -; nWE ; Input ; (6) 1314 ps ; -- ; -- ; -- ; -- ; +; nCE ; Input ; -- ; (6) 1314 ps ; -- ; -- ; -- ; +; nWE ; Input ; -- ; (6) 1314 ps ; -- ; -- ; -- ; ; Address[0] ; Input ; (6) 1314 ps ; -- ; -- ; -- ; -- ; ; Address[1] ; Input ; -- ; (6) 1314 ps ; -- ; -- ; -- ; -; Address[2] ; Input ; -- ; (6) 1314 ps ; -- ; -- ; -- ; -; Address[3] ; Input ; -- ; (6) 1314 ps ; -- ; -- ; -- ; -; Address[4] ; Input ; (6) 1314 ps ; -- ; -- ; -- ; -- ; -; Address[5] ; Input ; (6) 1314 ps ; -- ; -- ; -- ; -- ; -; Address[6] ; Input ; (6) 1314 ps ; -- ; -- ; -- ; -- ; +; Address[5] ; Input ; -- ; (6) 1314 ps ; -- ; -- ; -- ; +; Address[4] ; Input ; -- ; (6) 1314 ps ; -- ; -- ; -- ; +; nBL[1] ; Input ; (6) 1314 ps ; -- ; -- ; -- ; -- ; +; nBL[0] ; Input ; -- ; (6) 1314 ps ; -- ; -- ; -- ; +; Address[3] ; Input ; (6) 1314 ps ; -- ; -- ; -- ; -- ; +; Address[2] ; Input ; (6) 1314 ps ; -- ; -- ; -- ; -- ; ; Address[7] ; Input ; (6) 1314 ps ; -- ; -- ; -- ; -- ; +; Address[6] ; Input ; (6) 1314 ps ; -- ; -- ; -- ; -- ; ; nOE ; Input ; (6) 1314 ps ; -- ; -- ; -- ; -- ; +------------+----------+---------------+---------------+-----------------------+-----+------+ -+--------------------------------------------------------------------------------------------------------------------+ -; Pad To Core Delay Chain Fanout ; -+--------------------------------------------------------------------------------------+-------------------+---------+ -; Source Pin / Fanout ; Pad To Core Index ; Setting ; -+--------------------------------------------------------------------------------------+-------------------+---------+ -; Data[7] ; ; ; -; - RAM:inst3|memory_rtl_0_bypass[24]~feeder ; 0 ; 6 ; -; - RAM:inst3|altsyncram:memory_rtl_0|altsyncram_8bi1:auto_generated|ram_block1a0 ; 0 ; 6 ; -; Data[6] ; ; ; -; - RAM:inst3|memory_rtl_0_bypass[23]~feeder ; 0 ; 6 ; -; - RAM:inst3|altsyncram:memory_rtl_0|altsyncram_8bi1:auto_generated|ram_block1a0 ; 0 ; 6 ; -; Data[5] ; ; ; -; - RAM:inst3|memory_rtl_0_bypass[22]~feeder ; 0 ; 6 ; -; - RAM:inst3|altsyncram:memory_rtl_0|altsyncram_8bi1:auto_generated|ram_block1a0 ; 0 ; 6 ; -; Data[4] ; ; ; -; - RAM:inst3|memory_rtl_0_bypass[21]~feeder ; 0 ; 6 ; -; - RAM:inst3|altsyncram:memory_rtl_0|altsyncram_8bi1:auto_generated|ram_block1a0 ; 0 ; 6 ; -; Data[3] ; ; ; -; - RAM:inst3|memory_rtl_0_bypass[20] ; 0 ; 6 ; -; - RAM:inst3|altsyncram:memory_rtl_0|altsyncram_8bi1:auto_generated|ram_block1a0 ; 0 ; 6 ; -; Data[2] ; ; ; -; - RAM:inst3|memory_rtl_0_bypass[19] ; 0 ; 6 ; -; - RAM:inst3|altsyncram:memory_rtl_0|altsyncram_8bi1:auto_generated|ram_block1a0 ; 0 ; 6 ; -; Data[1] ; ; ; -; - RAM:inst3|memory_rtl_0_bypass[18] ; 1 ; 6 ; -; - RAM:inst3|altsyncram:memory_rtl_0|altsyncram_8bi1:auto_generated|ram_block1a0 ; 1 ; 6 ; -; Data[0] ; ; ; -; - RAM:inst3|altsyncram:memory_rtl_0|altsyncram_8bi1:auto_generated|ram_block1a0 ; 1 ; 6 ; -; - RAM:inst3|memory_rtl_0_bypass[17] ; 1 ; 6 ; -; FPGA_CLK ; ; ; -; nCE ; ; ; -; - RAM:inst3|ce0Prev ; 0 ; 6 ; -; - RAM:inst3|Selector74~0 ; 0 ; 6 ; -; - RAM:inst3|addr~0 ; 0 ; 6 ; -; - RAM:inst3|addr~1 ; 0 ; 6 ; -; - RAM:inst3|addr~2 ; 0 ; 6 ; -; - RAM:inst3|addr~3 ; 0 ; 6 ; -; - RAM:inst3|addr~4 ; 0 ; 6 ; -; - RAM:inst3|addr~5 ; 0 ; 6 ; -; - RAM:inst3|addr~6 ; 0 ; 6 ; -; - RAM:inst3|addr~7 ; 0 ; 6 ; -; - RAM:inst3|Selector4~0 ; 0 ; 6 ; -; - RAM:inst3|Selector3~1 ; 0 ; 6 ; -; - RAM:inst3|Selector3~2 ; 0 ; 6 ; -; - RAM:inst3|Selector2~0 ; 0 ; 6 ; -; - RAM:inst3|Selector3~3 ; 0 ; 6 ; -; nWE ; ; ; -; - RAM:inst3|we0Prev ; 0 ; 6 ; -; - RAM:inst3|memory~48 ; 0 ; 6 ; -; Address[0] ; ; ; -; - RAM:inst3|addr~0 ; 0 ; 6 ; -; Address[1] ; ; ; -; - RAM:inst3|addr~1 ; 1 ; 6 ; -; Address[2] ; ; ; -; - RAM:inst3|addr~2 ; 1 ; 6 ; -; Address[3] ; ; ; -; - RAM:inst3|addr~3 ; 1 ; 6 ; -; Address[4] ; ; ; -; - RAM:inst3|addr~4 ; 0 ; 6 ; -; Address[5] ; ; ; -; - RAM:inst3|addr~5 ; 0 ; 6 ; -; Address[6] ; ; ; -; - RAM:inst3|addr~6 ; 0 ; 6 ; -; Address[7] ; ; ; -; - RAM:inst3|addr~7 ; 0 ; 6 ; -; nOE ; ; ; -; - RAM:inst3|Selector4~0 ; 0 ; 6 ; -; - RAM:inst3|oe0Prev ; 0 ; 6 ; -; - RAM:inst3|Selector3~0 ; 0 ; 6 ; -; - RAM:inst3|Selector3~3 ; 0 ; 6 ; -+--------------------------------------------------------------------------------------+-------------------+---------+ ++-----------------------------------------------------------------------+ +; Pad To Core Delay Chain Fanout ; ++-----------------------------------------+-------------------+---------+ +; Source Pin / Fanout ; Pad To Core Index ; Setting ; ++-----------------------------------------+-------------------+---------+ +; Data[7] ; ; ; +; - RAM:inst1|memory[169][23] ; 1 ; 6 ; +; - RAM:inst1|memory[168][23] ; 1 ; 6 ; +; - RAM:inst1|memory[153][23] ; 1 ; 6 ; +; - RAM:inst1|memory[152][23] ; 1 ; 6 ; +; - RAM:inst1|memory[155][23] ; 1 ; 6 ; +; - RAM:inst1|memory[136][23] ; 1 ; 6 ; +; - RAM:inst1|memory[139][23] ; 1 ; 6 ; +; - RAM:inst1|memory[186][23] ; 1 ; 6 ; +; - RAM:inst1|memory[185][23] ; 1 ; 6 ; +; - RAM:inst1|memory[184][23] ; 1 ; 6 ; +; - RAM:inst1|memory[187][23] ; 1 ; 6 ; +; - RAM:inst1|memory[138][15] ; 1 ; 6 ; +; - RAM:inst1|memory[186][15] ; 1 ; 6 ; +; - RAM:inst1|memory[137][15] ; 1 ; 6 ; +; - RAM:inst1|memory[185][15] ; 1 ; 6 ; +; - RAM:inst1|memory[168][15] ; 1 ; 6 ; +; - RAM:inst1|memory[152][15] ; 1 ; 6 ; +; - RAM:inst1|memory[136][15] ; 1 ; 6 ; +; - RAM:inst1|memory[184][15] ; 1 ; 6 ; +; - RAM:inst1|memory[155][15] ; 1 ; 6 ; +; - RAM:inst1|memory[139][15] ; 1 ; 6 ; +; - RAM:inst1|memory[187][15] ; 1 ; 6 ; +; - RAM:inst1|memory[153][7] ; 1 ; 6 ; +; - RAM:inst1|memory[152][7] ; 1 ; 6 ; +; - RAM:inst1|memory[155][7] ; 1 ; 6 ; +; - RAM:inst1|memory[170][7] ; 1 ; 6 ; +; - RAM:inst1|memory[168][7] ; 1 ; 6 ; +; - RAM:inst1|memory[171][7] ; 1 ; 6 ; +; - RAM:inst1|memory[137][7] ; 1 ; 6 ; +; - RAM:inst1|memory[136][7] ; 1 ; 6 ; +; - RAM:inst1|memory[139][7] ; 1 ; 6 ; +; - RAM:inst1|memory[185][7] ; 1 ; 6 ; +; - RAM:inst1|memory[184][7] ; 1 ; 6 ; +; - RAM:inst1|memory[187][7] ; 1 ; 6 ; +; - RAM:inst1|memory[169][31] ; 1 ; 6 ; +; - RAM:inst1|memory[153][31] ; 1 ; 6 ; +; - RAM:inst1|memory[137][31] ; 1 ; 6 ; +; - RAM:inst1|memory[185][31] ; 1 ; 6 ; +; - RAM:inst1|memory[138][31] ; 1 ; 6 ; +; - RAM:inst1|memory[186][31] ; 1 ; 6 ; +; - RAM:inst1|memory[152][31] ; 1 ; 6 ; +; - RAM:inst1|memory[168][31] ; 1 ; 6 ; +; - RAM:inst1|memory[136][31] ; 1 ; 6 ; +; - RAM:inst1|memory[184][31] ; 1 ; 6 ; +; - RAM:inst1|memory[155][31] ; 1 ; 6 ; +; - RAM:inst1|memory[139][31] ; 1 ; 6 ; +; - RAM:inst1|memory[187][31] ; 1 ; 6 ; +; - RAM:inst1|memory[134][15] ; 1 ; 6 ; +; - RAM:inst1|memory[182][15] ; 1 ; 6 ; +; - RAM:inst1|memory[149][15] ; 1 ; 6 ; +; - RAM:inst1|memory[165][15] ; 1 ; 6 ; +; - RAM:inst1|memory[133][15] ; 1 ; 6 ; +; - RAM:inst1|memory[181][15] ; 1 ; 6 ; +; - RAM:inst1|memory[132][15] ; 1 ; 6 ; +; - RAM:inst1|memory[180][15] ; 1 ; 6 ; +; - RAM:inst1|memory[151][15] ; 1 ; 6 ; +; - RAM:inst1|memory[167][15] ; 1 ; 6 ; +; - RAM:inst1|memory[135][15] ; 1 ; 6 ; +; - RAM:inst1|memory[183][15] ; 1 ; 6 ; +; - RAM:inst1|memory[164][23] ; 1 ; 6 ; +; - RAM:inst1|memory[167][23] ; 1 ; 6 ; +; - RAM:inst1|memory[150][23] ; 1 ; 6 ; +; - RAM:inst1|memory[148][23] ; 1 ; 6 ; +; - RAM:inst1|memory[151][23] ; 1 ; 6 ; +; - RAM:inst1|memory[132][23] ; 1 ; 6 ; +; - RAM:inst1|memory[135][23] ; 1 ; 6 ; +; - RAM:inst1|memory[181][23] ; 1 ; 6 ; +; - RAM:inst1|memory[180][23] ; 1 ; 6 ; +; - RAM:inst1|memory[183][23] ; 1 ; 6 ; +; - RAM:inst1|memory[149][7] ; 1 ; 6 ; +; - RAM:inst1|memory[148][7] ; 1 ; 6 ; +; - RAM:inst1|memory[151][7] ; 1 ; 6 ; +; - RAM:inst1|memory[166][7] ; 1 ; 6 ; +; - RAM:inst1|memory[164][7] ; 1 ; 6 ; +; - RAM:inst1|memory[167][7] ; 1 ; 6 ; +; - RAM:inst1|memory[134][7] ; 1 ; 6 ; +; - RAM:inst1|memory[132][7] ; 1 ; 6 ; +; - RAM:inst1|memory[135][7] ; 1 ; 6 ; +; - RAM:inst1|memory[181][7] ; 1 ; 6 ; +; - RAM:inst1|memory[180][7] ; 1 ; 6 ; +; - RAM:inst1|memory[183][7] ; 1 ; 6 ; +; - RAM:inst1|memory[133][31] ; 1 ; 6 ; +; - RAM:inst1|memory[181][31] ; 1 ; 6 ; +; - RAM:inst1|memory[134][31] ; 1 ; 6 ; +; - RAM:inst1|memory[182][31] ; 1 ; 6 ; +; - RAM:inst1|memory[148][31] ; 1 ; 6 ; +; - RAM:inst1|memory[132][31] ; 1 ; 6 ; +; - RAM:inst1|memory[180][31] ; 1 ; 6 ; +; - RAM:inst1|memory[151][31] ; 1 ; 6 ; +; - RAM:inst1|memory[135][31] ; 1 ; 6 ; +; - RAM:inst1|memory[183][31] ; 1 ; 6 ; +; - RAM:inst1|memory[161][23] ; 1 ; 6 ; +; - RAM:inst1|memory[162][23] ; 1 ; 6 ; +; - RAM:inst1|memory[160][23] ; 1 ; 6 ; +; - RAM:inst1|memory[163][23] ; 1 ; 6 ; +; - RAM:inst1|memory[146][23] ; 1 ; 6 ; +; - RAM:inst1|memory[144][23] ; 1 ; 6 ; +; - RAM:inst1|memory[147][23] ; 1 ; 6 ; +; - RAM:inst1|memory[130][23] ; 1 ; 6 ; +; - RAM:inst1|memory[128][23] ; 1 ; 6 ; +; - RAM:inst1|memory[131][23] ; 1 ; 6 ; +; - RAM:inst1|memory[176][23] ; 1 ; 6 ; +; - RAM:inst1|memory[179][23] ; 1 ; 6 ; +; - RAM:inst1|memory[146][15] ; 1 ; 6 ; +; - RAM:inst1|memory[145][15] ; 1 ; 6 ; +; - RAM:inst1|memory[144][15] ; 1 ; 6 ; +; - RAM:inst1|memory[147][15] ; 1 ; 6 ; +; - RAM:inst1|memory[161][15] ; 1 ; 6 ; +; - RAM:inst1|memory[160][15] ; 1 ; 6 ; +; - RAM:inst1|memory[163][15] ; 1 ; 6 ; +; - RAM:inst1|memory[130][15] ; 1 ; 6 ; +; - RAM:inst1|memory[128][15] ; 1 ; 6 ; +; - RAM:inst1|memory[131][15] ; 1 ; 6 ; +; - RAM:inst1|memory[177][15] ; 1 ; 6 ; +; - RAM:inst1|memory[176][15] ; 1 ; 6 ; +; - RAM:inst1|memory[179][15] ; 1 ; 6 ; +; - RAM:inst1|memory[162][7] ; 1 ; 6 ; +; - RAM:inst1|memory[160][7] ; 1 ; 6 ; +; - RAM:inst1|memory[163][7] ; 1 ; 6 ; +; - RAM:inst1|memory[145][7] ; 1 ; 6 ; +; - RAM:inst1|memory[144][7] ; 1 ; 6 ; +; - RAM:inst1|memory[147][7] ; 1 ; 6 ; +; - RAM:inst1|memory[129][7] ; 1 ; 6 ; +; - RAM:inst1|memory[130][7] ; 1 ; 6 ; +; - RAM:inst1|memory[128][7] ; 1 ; 6 ; +; - RAM:inst1|memory[131][7] ; 1 ; 6 ; +; - RAM:inst1|memory[176][7] ; 1 ; 6 ; +; - RAM:inst1|memory[179][7] ; 1 ; 6 ; +; - RAM:inst1|memory[161][31] ; 1 ; 6 ; +; - RAM:inst1|memory[145][31] ; 1 ; 6 ; +; - RAM:inst1|memory[129][31] ; 1 ; 6 ; +; - RAM:inst1|memory[177][31] ; 1 ; 6 ; +; - RAM:inst1|memory[146][31] ; 1 ; 6 ; +; - RAM:inst1|memory[130][31] ; 1 ; 6 ; +; - RAM:inst1|memory[178][31] ; 1 ; 6 ; +; - RAM:inst1|memory[144][31] ; 1 ; 6 ; +; - RAM:inst1|memory[160][31] ; 1 ; 6 ; +; - RAM:inst1|memory[128][31] ; 1 ; 6 ; +; - RAM:inst1|memory[176][31] ; 1 ; 6 ; +; - RAM:inst1|memory[131][31] ; 1 ; 6 ; +; - RAM:inst1|memory[179][31] ; 1 ; 6 ; +; - RAM:inst1|memory[174][15] ; 1 ; 6 ; +; - RAM:inst1|memory[142][15] ; 1 ; 6 ; +; - RAM:inst1|memory[157][15] ; 1 ; 6 ; +; - RAM:inst1|memory[173][15] ; 1 ; 6 ; +; - RAM:inst1|memory[141][15] ; 1 ; 6 ; +; - RAM:inst1|memory[189][15] ; 1 ; 6 ; +; - RAM:inst1|memory[172][15] ; 1 ; 6 ; +; - RAM:inst1|memory[140][15] ; 1 ; 6 ; +; - RAM:inst1|memory[188][15] ; 1 ; 6 ; +; - RAM:inst1|memory[143][15] ; 1 ; 6 ; +; - RAM:inst1|memory[191][15] ; 1 ; 6 ; +; - RAM:inst1|memory[172][23] ; 1 ; 6 ; +; - RAM:inst1|memory[175][23] ; 1 ; 6 ; +; - RAM:inst1|memory[157][23] ; 1 ; 6 ; +; - RAM:inst1|memory[156][23] ; 1 ; 6 ; +; - RAM:inst1|memory[159][23] ; 1 ; 6 ; +; - RAM:inst1|memory[142][23] ; 1 ; 6 ; +; - RAM:inst1|memory[140][23] ; 1 ; 6 ; +; - RAM:inst1|memory[143][23] ; 1 ; 6 ; +; - RAM:inst1|memory[189][23] ; 1 ; 6 ; +; - RAM:inst1|memory[188][23] ; 1 ; 6 ; +; - RAM:inst1|memory[191][23] ; 1 ; 6 ; +; - RAM:inst1|memory[157][7] ; 1 ; 6 ; +; - RAM:inst1|memory[158][7] ; 1 ; 6 ; +; - RAM:inst1|memory[156][7] ; 1 ; 6 ; +; - RAM:inst1|memory[159][7] ; 1 ; 6 ; +; - RAM:inst1|memory[173][7] ; 1 ; 6 ; +; - RAM:inst1|memory[172][7] ; 1 ; 6 ; +; - RAM:inst1|memory[175][7] ; 1 ; 6 ; +; - RAM:inst1|memory[140][7] ; 1 ; 6 ; +; - RAM:inst1|memory[143][7] ; 1 ; 6 ; +; - RAM:inst1|memory[189][7] ; 1 ; 6 ; +; - RAM:inst1|memory[188][7] ; 1 ; 6 ; +; - RAM:inst1|memory[191][7] ; 1 ; 6 ; +; - RAM:inst1|memory[173][31] ; 1 ; 6 ; +; - RAM:inst1|memory[141][31] ; 1 ; 6 ; +; - RAM:inst1|memory[189][31] ; 1 ; 6 ; +; - RAM:inst1|memory[158][31] ; 1 ; 6 ; +; - RAM:inst1|memory[174][31] ; 1 ; 6 ; +; - RAM:inst1|memory[142][31] ; 1 ; 6 ; +; - RAM:inst1|memory[190][31] ; 1 ; 6 ; +; - RAM:inst1|memory[140][31] ; 1 ; 6 ; +; - RAM:inst1|memory[188][31] ; 1 ; 6 ; +; - RAM:inst1|memory[175][31] ; 1 ; 6 ; +; - RAM:inst1|memory[143][31] ; 1 ; 6 ; +; - RAM:inst1|memory[191][31] ; 1 ; 6 ; +; - RAM:inst1|memory[86][15] ; 1 ; 6 ; +; - RAM:inst1|memory[70][15] ; 1 ; 6 ; +; - RAM:inst1|memory[106][15] ; 1 ; 6 ; +; - RAM:inst1|memory[90][15] ; 1 ; 6 ; +; - RAM:inst1|memory[74][15] ; 1 ; 6 ; +; - RAM:inst1|memory[122][15] ; 1 ; 6 ; +; - RAM:inst1|memory[98][15] ; 1 ; 6 ; +; - RAM:inst1|memory[66][15] ; 1 ; 6 ; +; - RAM:inst1|memory[114][15] ; 1 ; 6 ; +; - RAM:inst1|memory[94][15] ; 1 ; 6 ; +; - RAM:inst1|memory[110][15] ; 1 ; 6 ; +; - RAM:inst1|memory[78][15] ; 1 ; 6 ; +; - RAM:inst1|memory[126][15] ; 1 ; 6 ; +; - RAM:inst1|memory[106][23] ; 1 ; 6 ; +; - RAM:inst1|memory[74][23] ; 1 ; 6 ; +; - RAM:inst1|memory[122][23] ; 1 ; 6 ; +; - RAM:inst1|memory[70][23] ; 1 ; 6 ; +; - RAM:inst1|memory[118][23] ; 1 ; 6 ; +; - RAM:inst1|memory[82][23] ; 1 ; 6 ; +; - RAM:inst1|memory[66][23] ; 1 ; 6 ; +; - RAM:inst1|memory[114][23] ; 1 ; 6 ; +; - RAM:inst1|memory[110][23] ; 1 ; 6 ; +; - RAM:inst1|memory[78][23] ; 1 ; 6 ; +; - RAM:inst1|memory[126][23] ; 1 ; 6 ; +; - RAM:inst1|memory[74][7] ; 1 ; 6 ; +; - RAM:inst1|memory[122][7] ; 1 ; 6 ; +; - RAM:inst1|memory[70][7] ; 1 ; 6 ; +; - RAM:inst1|memory[118][7] ; 1 ; 6 ; +; - RAM:inst1|memory[98][7] ; 1 ; 6 ; +; - RAM:inst1|memory[66][7] ; 1 ; 6 ; +; - RAM:inst1|memory[114][7] ; 1 ; 6 ; +; - RAM:inst1|memory[94][7] ; 1 ; 6 ; +; - RAM:inst1|memory[78][7] ; 1 ; 6 ; +; - RAM:inst1|memory[126][7] ; 1 ; 6 ; +; - RAM:inst1|memory[70][31] ; 1 ; 6 ; +; - RAM:inst1|memory[118][31] ; 1 ; 6 ; +; - RAM:inst1|memory[106][31] ; 1 ; 6 ; +; - RAM:inst1|memory[74][31] ; 1 ; 6 ; +; - RAM:inst1|memory[122][31] ; 1 ; 6 ; +; - RAM:inst1|memory[82][31] ; 1 ; 6 ; +; - RAM:inst1|memory[66][31] ; 1 ; 6 ; +; - RAM:inst1|memory[114][31] ; 1 ; 6 ; +; - RAM:inst1|memory[94][31] ; 1 ; 6 ; +; - RAM:inst1|memory[110][31] ; 1 ; 6 ; +; - RAM:inst1|memory[78][31] ; 1 ; 6 ; +; - RAM:inst1|memory[126][31] ; 1 ; 6 ; +; - RAM:inst1|memory[73][15] ; 1 ; 6 ; +; - RAM:inst1|memory[121][15] ; 1 ; 6 ; +; - RAM:inst1|memory[105][23] ; 1 ; 6 ; +; - RAM:inst1|memory[73][23] ; 1 ; 6 ; +; - RAM:inst1|memory[121][23] ; 1 ; 6 ; +; - RAM:inst1|memory[73][7] ; 1 ; 6 ; +; - RAM:inst1|memory[121][7] ; 1 ; 6 ; +; - RAM:inst1|memory[89][31] ; 1 ; 6 ; +; - RAM:inst1|memory[73][31] ; 1 ; 6 ; +; - RAM:inst1|memory[121][31] ; 1 ; 6 ; +; - RAM:inst1|memory[101][23] ; 1 ; 6 ; +; - RAM:inst1|memory[85][23] ; 1 ; 6 ; +; - RAM:inst1|memory[69][23] ; 1 ; 6 ; +; - RAM:inst1|memory[117][23] ; 1 ; 6 ; +; - RAM:inst1|memory[85][15] ; 1 ; 6 ; +; - RAM:inst1|memory[101][15] ; 1 ; 6 ; +; - RAM:inst1|memory[69][15] ; 1 ; 6 ; +; - RAM:inst1|memory[117][15] ; 1 ; 6 ; +; - RAM:inst1|memory[69][7] ; 1 ; 6 ; +; - RAM:inst1|memory[117][7] ; 1 ; 6 ; +; - RAM:inst1|memory[85][31] ; 1 ; 6 ; +; - RAM:inst1|memory[101][31] ; 1 ; 6 ; +; - RAM:inst1|memory[69][31] ; 1 ; 6 ; +; - RAM:inst1|memory[117][31] ; 1 ; 6 ; +; - RAM:inst1|memory[81][15] ; 1 ; 6 ; +; - RAM:inst1|memory[65][15] ; 1 ; 6 ; +; - RAM:inst1|memory[113][15] ; 1 ; 6 ; +; - RAM:inst1|memory[65][23] ; 1 ; 6 ; +; - RAM:inst1|memory[113][23] ; 1 ; 6 ; +; - RAM:inst1|memory[97][7] ; 1 ; 6 ; +; - RAM:inst1|memory[81][7] ; 1 ; 6 ; +; - RAM:inst1|memory[65][7] ; 1 ; 6 ; +; - RAM:inst1|memory[113][7] ; 1 ; 6 ; +; - RAM:inst1|memory[81][31] ; 1 ; 6 ; +; - RAM:inst1|memory[97][31] ; 1 ; 6 ; +; - RAM:inst1|memory[65][31] ; 1 ; 6 ; +; - RAM:inst1|memory[113][31] ; 1 ; 6 ; +; - RAM:inst1|memory[93][23] ; 1 ; 6 ; +; - RAM:inst1|memory[77][23] ; 1 ; 6 ; +; - RAM:inst1|memory[125][23] ; 1 ; 6 ; +; - RAM:inst1|memory[93][15] ; 1 ; 6 ; +; - RAM:inst1|memory[109][15] ; 1 ; 6 ; +; - RAM:inst1|memory[77][15] ; 1 ; 6 ; +; - RAM:inst1|memory[125][15] ; 1 ; 6 ; +; - RAM:inst1|memory[77][7] ; 1 ; 6 ; +; - RAM:inst1|memory[125][7] ; 1 ; 6 ; +; - RAM:inst1|memory[93][31] ; 1 ; 6 ; +; - RAM:inst1|memory[109][31] ; 1 ; 6 ; +; - RAM:inst1|memory[77][31] ; 1 ; 6 ; +; - RAM:inst1|memory[125][31] ; 1 ; 6 ; +; - RAM:inst1|memory[104][23] ; 1 ; 6 ; +; - RAM:inst1|memory[72][23] ; 1 ; 6 ; +; - RAM:inst1|memory[120][23] ; 1 ; 6 ; +; - RAM:inst1|memory[84][23] ; 1 ; 6 ; +; - RAM:inst1|memory[68][23] ; 1 ; 6 ; +; - RAM:inst1|memory[116][23] ; 1 ; 6 ; +; - RAM:inst1|memory[96][23] ; 1 ; 6 ; +; - RAM:inst1|memory[64][23] ; 1 ; 6 ; +; - RAM:inst1|memory[112][23] ; 1 ; 6 ; +; - RAM:inst1|memory[92][23] ; 1 ; 6 ; +; - RAM:inst1|memory[76][23] ; 1 ; 6 ; +; - RAM:inst1|memory[124][23] ; 1 ; 6 ; +; - RAM:inst1|memory[100][15] ; 1 ; 6 ; +; - RAM:inst1|memory[68][15] ; 1 ; 6 ; +; - RAM:inst1|memory[116][15] ; 1 ; 6 ; +; - RAM:inst1|memory[104][15] ; 1 ; 6 ; +; - RAM:inst1|memory[72][15] ; 1 ; 6 ; +; - RAM:inst1|memory[120][15] ; 1 ; 6 ; +; - RAM:inst1|memory[96][15] ; 1 ; 6 ; +; - RAM:inst1|memory[80][15] ; 1 ; 6 ; +; - RAM:inst1|memory[64][15] ; 1 ; 6 ; +; - RAM:inst1|memory[112][15] ; 1 ; 6 ; +; - RAM:inst1|memory[76][15] ; 1 ; 6 ; +; - RAM:inst1|memory[124][15] ; 1 ; 6 ; +; - RAM:inst1|memory[104][7] ; 1 ; 6 ; +; - RAM:inst1|memory[72][7] ; 1 ; 6 ; +; - RAM:inst1|memory[120][7] ; 1 ; 6 ; +; - RAM:inst1|memory[84][7] ; 1 ; 6 ; +; - RAM:inst1|memory[100][7] ; 1 ; 6 ; +; - RAM:inst1|memory[68][7] ; 1 ; 6 ; +; - RAM:inst1|memory[116][7] ; 1 ; 6 ; +; - RAM:inst1|memory[96][7] ; 1 ; 6 ; +; - RAM:inst1|memory[64][7] ; 1 ; 6 ; +; - RAM:inst1|memory[112][7] ; 1 ; 6 ; +; - RAM:inst1|memory[92][7] ; 1 ; 6 ; +; - RAM:inst1|memory[108][7] ; 1 ; 6 ; +; - RAM:inst1|memory[76][7] ; 1 ; 6 ; +; - RAM:inst1|memory[124][7] ; 1 ; 6 ; +; - RAM:inst1|memory[100][31] ; 1 ; 6 ; +; - RAM:inst1|memory[68][31] ; 1 ; 6 ; +; - RAM:inst1|memory[116][31] ; 1 ; 6 ; +; - RAM:inst1|memory[88][31] ; 1 ; 6 ; +; - RAM:inst1|memory[72][31] ; 1 ; 6 ; +; - RAM:inst1|memory[120][31] ; 1 ; 6 ; +; - RAM:inst1|memory[96][31] ; 1 ; 6 ; +; - RAM:inst1|memory[64][31] ; 1 ; 6 ; +; - RAM:inst1|memory[112][31] ; 1 ; 6 ; +; - RAM:inst1|memory[92][31] ; 1 ; 6 ; +; - RAM:inst1|memory[108][31] ; 1 ; 6 ; +; - RAM:inst1|memory[76][31] ; 1 ; 6 ; +; - RAM:inst1|memory[124][31] ; 1 ; 6 ; +; - RAM:inst1|memory[87][23] ; 1 ; 6 ; +; - RAM:inst1|memory[71][23] ; 1 ; 6 ; +; - RAM:inst1|memory[119][23] ; 1 ; 6 ; +; - RAM:inst1|memory[103][15] ; 1 ; 6 ; +; - RAM:inst1|memory[71][15] ; 1 ; 6 ; +; - RAM:inst1|memory[119][15] ; 1 ; 6 ; +; - RAM:inst1|memory[71][7] ; 1 ; 6 ; +; - RAM:inst1|memory[119][7] ; 1 ; 6 ; +; - RAM:inst1|memory[103][31] ; 1 ; 6 ; +; - RAM:inst1|memory[71][31] ; 1 ; 6 ; +; - RAM:inst1|memory[119][31] ; 1 ; 6 ; +; - RAM:inst1|memory[75][15] ; 1 ; 6 ; +; - RAM:inst1|memory[123][15] ; 1 ; 6 ; +; - RAM:inst1|memory[107][23] ; 1 ; 6 ; +; - RAM:inst1|memory[91][23] ; 1 ; 6 ; +; - RAM:inst1|memory[75][23] ; 1 ; 6 ; +; - RAM:inst1|memory[123][23] ; 1 ; 6 ; +; - RAM:inst1|memory[107][7] ; 1 ; 6 ; +; - RAM:inst1|memory[91][7] ; 1 ; 6 ; +; - RAM:inst1|memory[75][7] ; 1 ; 6 ; +; - RAM:inst1|memory[123][7] ; 1 ; 6 ; +; - RAM:inst1|memory[75][31] ; 1 ; 6 ; +; - RAM:inst1|memory[123][31] ; 1 ; 6 ; +; - RAM:inst1|memory[83][15] ; 1 ; 6 ; +; - RAM:inst1|memory[67][15] ; 1 ; 6 ; +; - RAM:inst1|memory[115][15] ; 1 ; 6 ; +; - RAM:inst1|memory[83][23] ; 1 ; 6 ; +; - RAM:inst1|memory[67][23] ; 1 ; 6 ; +; - RAM:inst1|memory[115][23] ; 1 ; 6 ; +; - RAM:inst1|memory[83][7] ; 1 ; 6 ; +; - RAM:inst1|memory[67][7] ; 1 ; 6 ; +; - RAM:inst1|memory[115][7] ; 1 ; 6 ; +; - RAM:inst1|memory[83][31] ; 1 ; 6 ; +; - RAM:inst1|memory[99][31] ; 1 ; 6 ; +; - RAM:inst1|memory[67][31] ; 1 ; 6 ; +; - RAM:inst1|memory[115][31] ; 1 ; 6 ; +; - RAM:inst1|memory[111][23] ; 1 ; 6 ; +; - RAM:inst1|memory[95][23] ; 1 ; 6 ; +; - RAM:inst1|memory[79][23] ; 1 ; 6 ; +; - RAM:inst1|memory[127][23] ; 1 ; 6 ; +; - RAM:inst1|memory[79][15] ; 1 ; 6 ; +; - RAM:inst1|memory[127][15] ; 1 ; 6 ; +; - RAM:inst1|memory[79][7] ; 1 ; 6 ; +; - RAM:inst1|memory[127][7] ; 1 ; 6 ; +; - RAM:inst1|memory[95][31] ; 1 ; 6 ; +; - RAM:inst1|memory[111][31] ; 1 ; 6 ; +; - RAM:inst1|memory[79][31] ; 1 ; 6 ; +; - RAM:inst1|memory[127][31] ; 1 ; 6 ; +; - RAM:inst1|memory[41][15] ; 1 ; 6 ; +; - RAM:inst1|memory[40][15] ; 1 ; 6 ; +; - RAM:inst1|memory[43][15] ; 1 ; 6 ; +; - RAM:inst1|memory[37][15] ; 1 ; 6 ; +; - RAM:inst1|memory[36][15] ; 1 ; 6 ; +; - RAM:inst1|memory[39][15] ; 1 ; 6 ; +; - RAM:inst1|memory[33][15] ; 1 ; 6 ; +; - RAM:inst1|memory[34][15] ; 1 ; 6 ; +; - RAM:inst1|memory[32][15] ; 1 ; 6 ; +; - RAM:inst1|memory[35][15] ; 1 ; 6 ; +; - RAM:inst1|memory[46][15] ; 1 ; 6 ; +; - RAM:inst1|memory[44][15] ; 1 ; 6 ; +; - RAM:inst1|memory[47][15] ; 1 ; 6 ; +; - RAM:inst1|memory[36][23] ; 1 ; 6 ; +; - RAM:inst1|memory[39][23] ; 1 ; 6 ; +; - RAM:inst1|memory[41][23] ; 1 ; 6 ; +; - RAM:inst1|memory[42][23] ; 1 ; 6 ; +; - RAM:inst1|memory[40][23] ; 1 ; 6 ; +; - RAM:inst1|memory[43][23] ; 1 ; 6 ; +; - RAM:inst1|memory[33][23] ; 1 ; 6 ; +; - RAM:inst1|memory[32][23] ; 1 ; 6 ; +; - RAM:inst1|memory[35][23] ; 1 ; 6 ; +; - RAM:inst1|memory[46][23] ; 1 ; 6 ; +; - RAM:inst1|memory[45][23] ; 1 ; 6 ; +; - RAM:inst1|memory[44][23] ; 1 ; 6 ; +; - RAM:inst1|memory[47][23] ; 1 ; 6 ; +; - RAM:inst1|memory[37][7] ; 1 ; 6 ; +; - RAM:inst1|memory[36][7] ; 1 ; 6 ; +; - RAM:inst1|memory[39][7] ; 1 ; 6 ; +; - RAM:inst1|memory[41][7] ; 1 ; 6 ; +; - RAM:inst1|memory[40][7] ; 1 ; 6 ; +; - RAM:inst1|memory[43][7] ; 1 ; 6 ; +; - RAM:inst1|memory[33][7] ; 1 ; 6 ; +; - RAM:inst1|memory[34][7] ; 1 ; 6 ; +; - RAM:inst1|memory[32][7] ; 1 ; 6 ; +; - RAM:inst1|memory[35][7] ; 1 ; 6 ; +; - RAM:inst1|memory[45][7] ; 1 ; 6 ; +; - RAM:inst1|memory[44][7] ; 1 ; 6 ; +; - RAM:inst1|memory[47][7] ; 1 ; 6 ; +; - RAM:inst1|memory[37][31] ; 1 ; 6 ; +; - RAM:inst1|memory[41][31] ; 1 ; 6 ; +; - RAM:inst1|memory[33][31] ; 1 ; 6 ; +; - RAM:inst1|memory[45][31] ; 1 ; 6 ; +; - RAM:inst1|memory[42][31] ; 1 ; 6 ; +; - RAM:inst1|memory[34][31] ; 1 ; 6 ; +; - RAM:inst1|memory[46][31] ; 1 ; 6 ; +; - RAM:inst1|memory[40][31] ; 1 ; 6 ; +; - RAM:inst1|memory[36][31] ; 1 ; 6 ; +; - RAM:inst1|memory[32][31] ; 1 ; 6 ; +; - RAM:inst1|memory[44][31] ; 1 ; 6 ; +; - RAM:inst1|memory[39][31] ; 1 ; 6 ; +; - RAM:inst1|memory[43][31] ; 1 ; 6 ; +; - RAM:inst1|memory[35][31] ; 1 ; 6 ; +; - RAM:inst1|memory[47][31] ; 1 ; 6 ; +; - RAM:inst1|memory[26][15] ; 1 ; 6 ; +; - RAM:inst1|memory[26][7] ; 1 ; 6 ; +; - RAM:inst1|memory[26][31] ; 1 ; 6 ; +; - RAM:inst1|memory[22][15] ; 1 ; 6 ; +; - RAM:inst1|memory[22][7] ; 1 ; 6 ; +; - RAM:inst1|memory[22][31] ; 1 ; 6 ; +; - RAM:inst1|memory[18][23] ; 1 ; 6 ; +; - RAM:inst1|memory[18][7] ; 1 ; 6 ; +; - RAM:inst1|memory[18][31] ; 1 ; 6 ; +; - RAM:inst1|memory[30][23] ; 1 ; 6 ; +; - RAM:inst1|memory[30][7] ; 1 ; 6 ; +; - RAM:inst1|memory[30][31] ; 1 ; 6 ; +; - RAM:inst1|memory[21][23] ; 1 ; 6 ; +; - RAM:inst1|memory[21][15] ; 1 ; 6 ; +; - RAM:inst1|memory[21][7] ; 1 ; 6 ; +; - RAM:inst1|memory[21][31] ; 1 ; 6 ; +; - RAM:inst1|memory[25][15] ; 1 ; 6 ; +; - RAM:inst1|memory[25][23] ; 1 ; 6 ; +; - RAM:inst1|memory[25][7] ; 1 ; 6 ; +; - RAM:inst1|memory[25][31] ; 1 ; 6 ; +; - RAM:inst1|memory[17][15] ; 1 ; 6 ; +; - RAM:inst1|memory[17][7] ; 1 ; 6 ; +; - RAM:inst1|memory[17][31] ; 1 ; 6 ; +; - RAM:inst1|memory[29][23] ; 1 ; 6 ; +; - RAM:inst1|memory[29][15] ; 1 ; 6 ; +; - RAM:inst1|memory[29][7] ; 1 ; 6 ; +; - RAM:inst1|memory[29][31] ; 1 ; 6 ; +; - RAM:inst1|memory[24][15] ; 1 ; 6 ; +; - RAM:inst1|memory[24][23] ; 1 ; 6 ; +; - RAM:inst1|memory[24][7] ; 1 ; 6 ; +; - RAM:inst1|memory[24][31] ; 1 ; 6 ; +; - RAM:inst1|memory[20][23] ; 1 ; 6 ; +; - RAM:inst1|memory[20][15] ; 1 ; 6 ; +; - RAM:inst1|memory[20][7] ; 1 ; 6 ; +; - RAM:inst1|memory[20][31] ; 1 ; 6 ; +; - RAM:inst1|memory[16][15] ; 1 ; 6 ; +; - RAM:inst1|memory[16][7] ; 1 ; 6 ; +; - RAM:inst1|memory[16][31] ; 1 ; 6 ; +; - RAM:inst1|memory[28][15] ; 1 ; 6 ; +; - RAM:inst1|memory[28][7] ; 1 ; 6 ; +; - RAM:inst1|memory[28][31] ; 1 ; 6 ; +; - RAM:inst1|memory[19][15] ; 1 ; 6 ; +; - RAM:inst1|memory[31][15] ; 1 ; 6 ; +; - RAM:inst1|memory[27][23] ; 1 ; 6 ; +; - RAM:inst1|memory[19][23] ; 1 ; 6 ; +; - RAM:inst1|memory[31][23] ; 1 ; 6 ; +; - RAM:inst1|memory[23][7] ; 1 ; 6 ; +; - RAM:inst1|memory[19][7] ; 1 ; 6 ; +; - RAM:inst1|memory[31][7] ; 1 ; 6 ; +; - RAM:inst1|memory[27][31] ; 1 ; 6 ; +; - RAM:inst1|memory[19][31] ; 1 ; 6 ; +; - RAM:inst1|memory[31][31] ; 1 ; 6 ; +; - RAM:inst1|memory[9][23] ; 1 ; 6 ; +; - RAM:inst1|memory[8][23] ; 1 ; 6 ; +; - RAM:inst1|memory[11][23] ; 1 ; 6 ; +; - RAM:inst1|memory[4][23] ; 1 ; 6 ; +; - RAM:inst1|memory[7][23] ; 1 ; 6 ; +; - RAM:inst1|memory[1][23] ; 1 ; 6 ; +; - RAM:inst1|memory[0][23] ; 1 ; 6 ; +; - RAM:inst1|memory[3][23] ; 1 ; 6 ; +; - RAM:inst1|memory[14][23] ; 1 ; 6 ; +; - RAM:inst1|memory[13][23] ; 1 ; 6 ; +; - RAM:inst1|memory[12][23] ; 1 ; 6 ; +; - RAM:inst1|memory[15][23] ; 1 ; 6 ; +; - RAM:inst1|memory[5][15] ; 1 ; 6 ; +; - RAM:inst1|memory[4][15] ; 1 ; 6 ; +; - RAM:inst1|memory[7][15] ; 1 ; 6 ; +; - RAM:inst1|memory[9][15] ; 1 ; 6 ; +; - RAM:inst1|memory[10][15] ; 1 ; 6 ; +; - RAM:inst1|memory[8][15] ; 1 ; 6 ; +; - RAM:inst1|memory[11][15] ; 1 ; 6 ; +; - RAM:inst1|memory[0][15] ; 1 ; 6 ; +; - RAM:inst1|memory[3][15] ; 1 ; 6 ; +; - RAM:inst1|memory[14][15] ; 1 ; 6 ; +; - RAM:inst1|memory[13][15] ; 1 ; 6 ; +; - RAM:inst1|memory[12][15] ; 1 ; 6 ; +; - RAM:inst1|memory[15][15] ; 1 ; 6 ; +; - RAM:inst1|memory[9][7] ; 1 ; 6 ; +; - RAM:inst1|memory[8][7] ; 1 ; 6 ; +; - RAM:inst1|memory[11][7] ; 1 ; 6 ; +; - RAM:inst1|memory[5][7] ; 1 ; 6 ; +; - RAM:inst1|memory[4][7] ; 1 ; 6 ; +; - RAM:inst1|memory[7][7] ; 1 ; 6 ; +; - RAM:inst1|memory[1][7] ; 1 ; 6 ; +; - RAM:inst1|memory[2][7] ; 1 ; 6 ; +; - RAM:inst1|memory[0][7] ; 1 ; 6 ; +; - RAM:inst1|memory[3][7] ; 1 ; 6 ; +; - RAM:inst1|memory[12][7] ; 1 ; 6 ; +; - RAM:inst1|memory[15][7] ; 1 ; 6 ; +; - RAM:inst1|memory[5][31] ; 1 ; 6 ; +; - RAM:inst1|memory[4][31] ; 1 ; 6 ; +; - RAM:inst1|memory[7][31] ; 1 ; 6 ; +; - RAM:inst1|memory[8][31] ; 1 ; 6 ; +; - RAM:inst1|memory[11][31] ; 1 ; 6 ; +; - RAM:inst1|memory[1][31] ; 1 ; 6 ; +; - RAM:inst1|memory[0][31] ; 1 ; 6 ; +; - RAM:inst1|memory[3][31] ; 1 ; 6 ; +; - RAM:inst1|memory[14][31] ; 1 ; 6 ; +; - RAM:inst1|memory[12][31] ; 1 ; 6 ; +; - RAM:inst1|memory[15][31] ; 1 ; 6 ; +; - RAM:inst1|memory[53][15] ; 1 ; 6 ; +; - RAM:inst1|memory[53][23] ; 1 ; 6 ; +; - RAM:inst1|memory[53][7] ; 1 ; 6 ; +; - RAM:inst1|memory[53][31] ; 1 ; 6 ; +; - RAM:inst1|memory[54][23] ; 1 ; 6 ; +; - RAM:inst1|memory[54][15] ; 1 ; 6 ; +; - RAM:inst1|memory[54][7] ; 1 ; 6 ; +; - RAM:inst1|memory[54][31] ; 1 ; 6 ; +; - RAM:inst1|memory[52][23] ; 1 ; 6 ; +; - RAM:inst1|memory[52][15] ; 1 ; 6 ; +; - RAM:inst1|memory[52][7] ; 1 ; 6 ; +; - RAM:inst1|memory[52][31] ; 1 ; 6 ; +; - RAM:inst1|memory[55][15] ; 1 ; 6 ; +; - RAM:inst1|memory[55][23] ; 1 ; 6 ; +; - RAM:inst1|memory[55][7] ; 1 ; 6 ; +; - RAM:inst1|memory[55][31] ; 1 ; 6 ; +; - RAM:inst1|memory[58][15] ; 1 ; 6 ; +; - RAM:inst1|memory[58][7] ; 1 ; 6 ; +; - RAM:inst1|memory[58][31] ; 1 ; 6 ; +; - RAM:inst1|memory[57][23] ; 1 ; 6 ; +; - RAM:inst1|memory[57][7] ; 1 ; 6 ; +; - RAM:inst1|memory[57][31] ; 1 ; 6 ; +; - RAM:inst1|memory[56][23] ; 1 ; 6 ; +; - RAM:inst1|memory[56][15] ; 1 ; 6 ; +; - RAM:inst1|memory[56][7] ; 1 ; 6 ; +; - RAM:inst1|memory[56][31] ; 1 ; 6 ; +; - RAM:inst1|memory[59][15] ; 1 ; 6 ; +; - RAM:inst1|memory[59][23] ; 1 ; 6 ; +; - RAM:inst1|memory[59][7] ; 1 ; 6 ; +; - RAM:inst1|memory[59][31] ; 1 ; 6 ; +; - RAM:inst1|memory[50][23] ; 1 ; 6 ; +; - RAM:inst1|memory[50][15] ; 1 ; 6 ; +; - RAM:inst1|memory[50][7] ; 1 ; 6 ; +; - RAM:inst1|memory[50][31] ; 1 ; 6 ; +; - RAM:inst1|memory[49][15] ; 1 ; 6 ; +; - RAM:inst1|memory[49][7] ; 1 ; 6 ; +; - RAM:inst1|memory[49][31] ; 1 ; 6 ; +; - RAM:inst1|memory[48][23] ; 1 ; 6 ; +; - RAM:inst1|memory[48][15] ; 1 ; 6 ; +; - RAM:inst1|memory[48][7] ; 1 ; 6 ; +; - RAM:inst1|memory[48][31] ; 1 ; 6 ; +; - RAM:inst1|memory[51][15] ; 1 ; 6 ; +; - RAM:inst1|memory[51][23] ; 1 ; 6 ; +; - RAM:inst1|memory[51][7] ; 1 ; 6 ; +; - RAM:inst1|memory[51][31] ; 1 ; 6 ; +; - RAM:inst1|memory[61][23] ; 1 ; 6 ; +; - RAM:inst1|memory[62][23] ; 1 ; 6 ; +; - RAM:inst1|memory[60][23] ; 1 ; 6 ; +; - RAM:inst1|memory[63][23] ; 1 ; 6 ; +; - RAM:inst1|memory[62][15] ; 1 ; 6 ; +; - RAM:inst1|memory[61][15] ; 1 ; 6 ; +; - RAM:inst1|memory[60][15] ; 1 ; 6 ; +; - RAM:inst1|memory[63][15] ; 1 ; 6 ; +; - RAM:inst1|memory[60][7] ; 1 ; 6 ; +; - RAM:inst1|memory[63][7] ; 1 ; 6 ; +; - RAM:inst1|memory[62][31] ; 1 ; 6 ; +; - RAM:inst1|memory[61][31] ; 1 ; 6 ; +; - RAM:inst1|memory[60][31] ; 1 ; 6 ; +; - RAM:inst1|memory[63][31] ; 1 ; 6 ; +; - RAM:inst1|memory[214][23] ; 1 ; 6 ; +; - RAM:inst1|memory[210][23] ; 1 ; 6 ; +; - RAM:inst1|memory[213][23] ; 1 ; 6 ; +; - RAM:inst1|memory[209][23] ; 1 ; 6 ; +; - RAM:inst1|memory[221][23] ; 1 ; 6 ; +; - RAM:inst1|memory[212][23] ; 1 ; 6 ; +; - RAM:inst1|memory[216][23] ; 1 ; 6 ; +; - RAM:inst1|memory[208][23] ; 1 ; 6 ; +; - RAM:inst1|memory[220][23] ; 1 ; 6 ; +; - RAM:inst1|memory[211][23] ; 1 ; 6 ; +; - RAM:inst1|memory[223][23] ; 1 ; 6 ; +; - RAM:inst1|memory[217][15] ; 1 ; 6 ; +; - RAM:inst1|memory[209][15] ; 1 ; 6 ; +; - RAM:inst1|memory[221][15] ; 1 ; 6 ; +; - RAM:inst1|memory[214][15] ; 1 ; 6 ; +; - RAM:inst1|memory[218][15] ; 1 ; 6 ; +; - RAM:inst1|memory[210][15] ; 1 ; 6 ; +; - RAM:inst1|memory[222][15] ; 1 ; 6 ; +; - RAM:inst1|memory[208][15] ; 1 ; 6 ; +; - RAM:inst1|memory[220][15] ; 1 ; 6 ; +; - RAM:inst1|memory[215][15] ; 1 ; 6 ; +; - RAM:inst1|memory[211][15] ; 1 ; 6 ; +; - RAM:inst1|memory[223][15] ; 1 ; 6 ; +; - RAM:inst1|memory[214][7] ; 1 ; 6 ; +; - RAM:inst1|memory[218][7] ; 1 ; 6 ; +; - RAM:inst1|memory[210][7] ; 1 ; 6 ; +; - RAM:inst1|memory[222][7] ; 1 ; 6 ; +; - RAM:inst1|memory[217][7] ; 1 ; 6 ; +; - RAM:inst1|memory[213][7] ; 1 ; 6 ; +; - RAM:inst1|memory[209][7] ; 1 ; 6 ; +; - RAM:inst1|memory[221][7] ; 1 ; 6 ; +; - RAM:inst1|memory[208][7] ; 1 ; 6 ; +; - RAM:inst1|memory[220][7] ; 1 ; 6 ; +; - RAM:inst1|memory[219][7] ; 1 ; 6 ; +; - RAM:inst1|memory[215][7] ; 1 ; 6 ; +; - RAM:inst1|memory[211][7] ; 1 ; 6 ; +; - RAM:inst1|memory[223][7] ; 1 ; 6 ; +; - RAM:inst1|memory[209][31] ; 1 ; 6 ; +; - RAM:inst1|memory[221][31] ; 1 ; 6 ; +; - RAM:inst1|memory[214][31] ; 1 ; 6 ; +; - RAM:inst1|memory[210][31] ; 1 ; 6 ; +; - RAM:inst1|memory[222][31] ; 1 ; 6 ; +; - RAM:inst1|memory[208][31] ; 1 ; 6 ; +; - RAM:inst1|memory[220][31] ; 1 ; 6 ; +; - RAM:inst1|memory[211][31] ; 1 ; 6 ; +; - RAM:inst1|memory[223][31] ; 1 ; 6 ; +; - RAM:inst1|memory[230][7] ; 1 ; 6 ; +; - RAM:inst1|memory[230][31] ; 1 ; 6 ; +; - RAM:inst1|memory[234][15] ; 1 ; 6 ; +; - RAM:inst1|memory[234][7] ; 1 ; 6 ; +; - RAM:inst1|memory[234][31] ; 1 ; 6 ; +; - RAM:inst1|memory[226][15] ; 1 ; 6 ; +; - RAM:inst1|memory[226][7] ; 1 ; 6 ; +; - RAM:inst1|memory[226][31] ; 1 ; 6 ; +; - RAM:inst1|memory[238][15] ; 1 ; 6 ; +; - RAM:inst1|memory[238][7] ; 1 ; 6 ; +; - RAM:inst1|memory[238][31] ; 1 ; 6 ; +; - RAM:inst1|memory[233][23] ; 1 ; 6 ; +; - RAM:inst1|memory[233][7] ; 1 ; 6 ; +; - RAM:inst1|memory[233][31] ; 1 ; 6 ; +; - RAM:inst1|memory[229][23] ; 1 ; 6 ; +; - RAM:inst1|memory[229][7] ; 1 ; 6 ; +; - RAM:inst1|memory[229][31] ; 1 ; 6 ; +; - RAM:inst1|memory[225][23] ; 1 ; 6 ; +; - RAM:inst1|memory[225][15] ; 1 ; 6 ; +; - RAM:inst1|memory[225][7] ; 1 ; 6 ; +; - RAM:inst1|memory[225][31] ; 1 ; 6 ; +; - RAM:inst1|memory[237][23] ; 1 ; 6 ; +; - RAM:inst1|memory[237][7] ; 1 ; 6 ; +; - RAM:inst1|memory[237][31] ; 1 ; 6 ; +; - RAM:inst1|memory[228][23] ; 1 ; 6 ; +; - RAM:inst1|memory[228][7] ; 1 ; 6 ; +; - RAM:inst1|memory[228][31] ; 1 ; 6 ; +; - RAM:inst1|memory[232][7] ; 1 ; 6 ; +; - RAM:inst1|memory[232][31] ; 1 ; 6 ; +; - RAM:inst1|memory[224][23] ; 1 ; 6 ; +; - RAM:inst1|memory[224][15] ; 1 ; 6 ; +; - RAM:inst1|memory[224][7] ; 1 ; 6 ; +; - RAM:inst1|memory[224][31] ; 1 ; 6 ; +; - RAM:inst1|memory[236][15] ; 1 ; 6 ; +; - RAM:inst1|memory[236][7] ; 1 ; 6 ; +; - RAM:inst1|memory[236][31] ; 1 ; 6 ; +; - RAM:inst1|memory[235][23] ; 1 ; 6 ; +; - RAM:inst1|memory[235][7] ; 1 ; 6 ; +; - RAM:inst1|memory[235][31] ; 1 ; 6 ; +; - RAM:inst1|memory[231][23] ; 1 ; 6 ; +; - RAM:inst1|memory[231][7] ; 1 ; 6 ; +; - RAM:inst1|memory[231][31] ; 1 ; 6 ; +; - RAM:inst1|memory[227][15] ; 1 ; 6 ; +; - RAM:inst1|memory[227][7] ; 1 ; 6 ; +; - RAM:inst1|memory[227][31] ; 1 ; 6 ; +; - RAM:inst1|memory[239][23] ; 1 ; 6 ; +; - RAM:inst1|memory[239][7] ; 1 ; 6 ; +; - RAM:inst1|memory[239][31] ; 1 ; 6 ; +; - RAM:inst1|memory[201][23] ; 1 ; 6 ; +; - RAM:inst1|memory[197][23] ; 1 ; 6 ; +; - RAM:inst1|memory[193][23] ; 1 ; 6 ; +; - RAM:inst1|memory[205][23] ; 1 ; 6 ; +; - RAM:inst1|memory[193][15] ; 1 ; 6 ; +; - RAM:inst1|memory[205][15] ; 1 ; 6 ; +; - RAM:inst1|memory[197][7] ; 1 ; 6 ; +; - RAM:inst1|memory[193][7] ; 1 ; 6 ; +; - RAM:inst1|memory[205][7] ; 1 ; 6 ; +; - RAM:inst1|memory[197][31] ; 1 ; 6 ; +; - RAM:inst1|memory[201][31] ; 1 ; 6 ; +; - RAM:inst1|memory[193][31] ; 1 ; 6 ; +; - RAM:inst1|memory[205][31] ; 1 ; 6 ; +; - RAM:inst1|memory[202][23] ; 1 ; 6 ; +; - RAM:inst1|memory[202][7] ; 1 ; 6 ; +; - RAM:inst1|memory[202][31] ; 1 ; 6 ; +; - RAM:inst1|memory[198][7] ; 1 ; 6 ; +; - RAM:inst1|memory[198][31] ; 1 ; 6 ; +; - RAM:inst1|memory[194][15] ; 1 ; 6 ; +; - RAM:inst1|memory[194][23] ; 1 ; 6 ; +; - RAM:inst1|memory[194][7] ; 1 ; 6 ; +; - RAM:inst1|memory[194][31] ; 1 ; 6 ; +; - RAM:inst1|memory[206][15] ; 1 ; 6 ; +; - RAM:inst1|memory[206][7] ; 1 ; 6 ; +; - RAM:inst1|memory[206][31] ; 1 ; 6 ; +; - RAM:inst1|memory[192][15] ; 1 ; 6 ; +; - RAM:inst1|memory[204][15] ; 1 ; 6 ; +; - RAM:inst1|memory[196][23] ; 1 ; 6 ; +; - RAM:inst1|memory[192][23] ; 1 ; 6 ; +; - RAM:inst1|memory[204][23] ; 1 ; 6 ; +; - RAM:inst1|memory[192][7] ; 1 ; 6 ; +; - RAM:inst1|memory[204][7] ; 1 ; 6 ; +; - RAM:inst1|memory[196][31] ; 1 ; 6 ; +; - RAM:inst1|memory[200][31] ; 1 ; 6 ; +; - RAM:inst1|memory[192][31] ; 1 ; 6 ; +; - RAM:inst1|memory[204][31] ; 1 ; 6 ; +; - RAM:inst1|memory[203][15] ; 1 ; 6 ; +; - RAM:inst1|memory[199][15] ; 1 ; 6 ; +; - RAM:inst1|memory[195][15] ; 1 ; 6 ; +; - RAM:inst1|memory[207][15] ; 1 ; 6 ; +; - RAM:inst1|memory[203][23] ; 1 ; 6 ; +; - RAM:inst1|memory[195][23] ; 1 ; 6 ; +; - RAM:inst1|memory[207][23] ; 1 ; 6 ; +; - RAM:inst1|memory[195][7] ; 1 ; 6 ; +; - RAM:inst1|memory[207][7] ; 1 ; 6 ; +; - RAM:inst1|memory[199][31] ; 1 ; 6 ; +; - RAM:inst1|memory[195][31] ; 1 ; 6 ; +; - RAM:inst1|memory[207][31] ; 1 ; 6 ; +; - RAM:inst1|memory[245][15] ; 1 ; 6 ; +; - RAM:inst1|memory[241][15] ; 1 ; 6 ; +; - RAM:inst1|memory[253][15] ; 1 ; 6 ; +; - RAM:inst1|memory[250][15] ; 1 ; 6 ; +; - RAM:inst1|memory[242][15] ; 1 ; 6 ; +; - RAM:inst1|memory[254][15] ; 1 ; 6 ; +; - RAM:inst1|memory[244][15] ; 1 ; 6 ; +; - RAM:inst1|memory[248][15] ; 1 ; 6 ; +; - RAM:inst1|memory[240][15] ; 1 ; 6 ; +; - RAM:inst1|memory[252][15] ; 1 ; 6 ; +; - RAM:inst1|memory[251][15] ; 1 ; 6 ; +; - RAM:inst1|memory[243][15] ; 1 ; 6 ; +; - RAM:inst1|memory[255][15] ; 1 ; 6 ; +; - RAM:inst1|memory[242][23] ; 1 ; 6 ; +; - RAM:inst1|memory[254][23] ; 1 ; 6 ; +; - RAM:inst1|memory[249][23] ; 1 ; 6 ; +; - RAM:inst1|memory[245][23] ; 1 ; 6 ; +; - RAM:inst1|memory[241][23] ; 1 ; 6 ; +; - RAM:inst1|memory[253][23] ; 1 ; 6 ; +; - RAM:inst1|memory[244][23] ; 1 ; 6 ; +; - RAM:inst1|memory[240][23] ; 1 ; 6 ; +; - RAM:inst1|memory[252][23] ; 1 ; 6 ; +; - RAM:inst1|memory[247][23] ; 1 ; 6 ; +; - RAM:inst1|memory[243][23] ; 1 ; 6 ; +; - RAM:inst1|memory[255][23] ; 1 ; 6 ; +; - RAM:inst1|memory[242][7] ; 1 ; 6 ; +; - RAM:inst1|memory[254][7] ; 1 ; 6 ; +; - RAM:inst1|memory[249][7] ; 1 ; 6 ; +; - RAM:inst1|memory[245][7] ; 1 ; 6 ; +; - RAM:inst1|memory[241][7] ; 1 ; 6 ; +; - RAM:inst1|memory[253][7] ; 1 ; 6 ; +; - RAM:inst1|memory[244][7] ; 1 ; 6 ; +; - RAM:inst1|memory[248][7] ; 1 ; 6 ; +; - RAM:inst1|memory[240][7] ; 1 ; 6 ; +; - RAM:inst1|memory[252][7] ; 1 ; 6 ; +; - RAM:inst1|memory[251][7] ; 1 ; 6 ; +; - RAM:inst1|memory[247][7] ; 1 ; 6 ; +; - RAM:inst1|memory[243][7] ; 1 ; 6 ; +; - RAM:inst1|memory[255][7] ; 1 ; 6 ; +; - RAM:inst1|memory[249][31] ; 1 ; 6 ; +; - RAM:inst1|memory[245][31] ; 1 ; 6 ; +; - RAM:inst1|memory[241][31] ; 1 ; 6 ; +; - RAM:inst1|memory[253][31] ; 1 ; 6 ; +; - RAM:inst1|memory[246][31] ; 1 ; 6 ; +; - RAM:inst1|memory[250][31] ; 1 ; 6 ; +; - RAM:inst1|memory[242][31] ; 1 ; 6 ; +; - RAM:inst1|memory[254][31] ; 1 ; 6 ; +; - RAM:inst1|memory[244][31] ; 1 ; 6 ; +; - RAM:inst1|memory[240][31] ; 1 ; 6 ; +; - RAM:inst1|memory[252][31] ; 1 ; 6 ; +; - RAM:inst1|memory[251][31] ; 1 ; 6 ; +; - RAM:inst1|memory[247][31] ; 1 ; 6 ; +; - RAM:inst1|memory[243][31] ; 1 ; 6 ; +; - RAM:inst1|memory[255][31] ; 1 ; 6 ; +; - RAM:inst1|memory~1073 ; 1 ; 6 ; +; - RAM:inst1|memory[13][31]~feeder ; 1 ; 6 ; +; - RAM:inst1|memory[177][23]~feeder ; 1 ; 6 ; +; - RAM:inst1|memory[177][7]~feeder ; 1 ; 6 ; +; - RAM:inst1|memory[49][23]~feeder ; 1 ; 6 ; +; - RAM:inst1|memory[91][15]~feeder ; 1 ; 6 ; +; - RAM:inst1|memory[104][31]~feeder ; 1 ; 6 ; +; - RAM:inst1|memory[57][15]~feeder ; 1 ; 6 ; +; - RAM:inst1|memory[105][31]~feeder ; 1 ; 6 ; +; - RAM:inst1|memory[107][31]~feeder ; 1 ; 6 ; +; - RAM:inst1|memory[105][15]~feeder ; 1 ; 6 ; +; - RAM:inst1|memory[203][31]~feeder ; 1 ; 6 ; +; - RAM:inst1|memory[232][15]~feeder ; 1 ; 6 ; +; - RAM:inst1|memory[153][15]~feeder ; 1 ; 6 ; +; - RAM:inst1|memory[129][23]~feeder ; 1 ; 6 ; +; - RAM:inst1|memory[89][15]~feeder ; 1 ; 6 ; +; - RAM:inst1|memory[89][7]~feeder ; 1 ; 6 ; +; - RAM:inst1|memory[147][31]~feeder ; 1 ; 6 ; +; - RAM:inst1|memory[137][23]~feeder ; 1 ; 6 ; +; - RAM:inst1|memory[171][23]~feeder ; 1 ; 6 ; +; - RAM:inst1|memory[171][31]~feeder ; 1 ; 6 ; +; - RAM:inst1|memory[91][31]~feeder ; 1 ; 6 ; +; - RAM:inst1|memory[219][31]~feeder ; 1 ; 6 ; +; - RAM:inst1|memory[88][7]~feeder ; 1 ; 6 ; +; - RAM:inst1|memory[80][7]~feeder ; 1 ; 6 ; +; - RAM:inst1|memory[219][15]~feeder ; 1 ; 6 ; +; - RAM:inst1|memory[251][23]~feeder ; 1 ; 6 ; +; - RAM:inst1|memory[82][15]~feeder ; 1 ; 6 ; +; - RAM:inst1|memory[82][7]~feeder ; 1 ; 6 ; +; - RAM:inst1|memory[18][15]~feeder ; 1 ; 6 ; +; - RAM:inst1|memory[30][15]~feeder ; 1 ; 6 ; +; - RAM:inst1|memory[178][15]~feeder ; 1 ; 6 ; +; - RAM:inst1|memory[28][23]~feeder ; 1 ; 6 ; +; - RAM:inst1|memory[178][7]~feeder ; 1 ; 6 ; +; - RAM:inst1|memory[178][23]~feeder ; 1 ; 6 ; +; - RAM:inst1|memory[215][23]~feeder ; 1 ; 6 ; +; - RAM:inst1|memory[156][31]~feeder ; 1 ; 6 ; +; - RAM:inst1|memory[159][31]~feeder ; 1 ; 6 ; +; - RAM:inst1|memory[2][15]~feeder ; 1 ; 6 ; +; - RAM:inst1|memory[2][31]~feeder ; 1 ; 6 ; +; - RAM:inst1|memory[98][23]~feeder ; 1 ; 6 ; +; - RAM:inst1|memory[108][23]~feeder ; 1 ; 6 ; +; - RAM:inst1|memory[236][23]~feeder ; 1 ; 6 ; +; - RAM:inst1|memory[84][31]~feeder ; 1 ; 6 ; +; - RAM:inst1|memory[190][23]~feeder ; 1 ; 6 ; +; - RAM:inst1|memory[174][23]~feeder ; 1 ; 6 ; +; - RAM:inst1|memory[145][23]~feeder ; 1 ; 6 ; +; - RAM:inst1|memory[17][23]~feeder ; 1 ; 6 ; +; - RAM:inst1|memory[106][7]~feeder ; 1 ; 6 ; +; - RAM:inst1|memory[42][7]~feeder ; 1 ; 6 ; +; - RAM:inst1|memory[248][23]~feeder ; 1 ; 6 ; +; - RAM:inst1|memory[89][23]~feeder ; 1 ; 6 ; +; - RAM:inst1|memory[81][23]~feeder ; 1 ; 6 ; +; - RAM:inst1|memory[217][23]~feeder ; 1 ; 6 ; +; - RAM:inst1|memory[217][31]~feeder ; 1 ; 6 ; +; - RAM:inst1|memory[200][23]~feeder ; 1 ; 6 ; +; - RAM:inst1|memory[42][15]~feeder ; 1 ; 6 ; +; - RAM:inst1|memory[170][15]~feeder ; 1 ; 6 ; +; - RAM:inst1|memory[216][7]~feeder ; 1 ; 6 ; +; - RAM:inst1|memory[216][31]~feeder ; 1 ; 6 ; +; - RAM:inst1|memory[170][23]~feeder ; 1 ; 6 ; +; - RAM:inst1|memory[234][23]~feeder ; 1 ; 6 ; +; - RAM:inst1|memory[170][31]~feeder ; 1 ; 6 ; +; - RAM:inst1|memory[218][23]~feeder ; 1 ; 6 ; +; - RAM:inst1|memory[186][7]~feeder ; 1 ; 6 ; +; - RAM:inst1|memory[202][15]~feeder ; 1 ; 6 ; +; - RAM:inst1|memory[90][7]~feeder ; 1 ; 6 ; +; - RAM:inst1|memory[90][31]~feeder ; 1 ; 6 ; +; - RAM:inst1|memory[218][31]~feeder ; 1 ; 6 ; +; - RAM:inst1|memory[10][31]~feeder ; 1 ; 6 ; +; - RAM:inst1|memory[250][23]~feeder ; 1 ; 6 ; +; - RAM:inst1|memory[250][7]~feeder ; 1 ; 6 ; +; - RAM:inst1|memory[88][23]~feeder ; 1 ; 6 ; +; - RAM:inst1|memory[80][23]~feeder ; 1 ; 6 ; +; - RAM:inst1|memory[138][23]~feeder ; 1 ; 6 ; +; - RAM:inst1|memory[138][7]~feeder ; 1 ; 6 ; +; - RAM:inst1|memory[26][23]~feeder ; 1 ; 6 ; +; - RAM:inst1|memory[58][23]~feeder ; 1 ; 6 ; +; - RAM:inst1|memory[154][23]~feeder ; 1 ; 6 ; +; - RAM:inst1|memory[154][7]~feeder ; 1 ; 6 ; +; - RAM:inst1|memory[154][15]~feeder ; 1 ; 6 ; +; - RAM:inst1|memory[154][31]~feeder ; 1 ; 6 ; +; - RAM:inst1|memory[90][23]~feeder ; 1 ; 6 ; +; - RAM:inst1|memory[88][15]~feeder ; 1 ; 6 ; +; - RAM:inst1|memory[216][15]~feeder ; 1 ; 6 ; +; - RAM:inst1|memory[249][15]~feeder ; 1 ; 6 ; +; - RAM:inst1|memory[232][23]~feeder ; 1 ; 6 ; +; - RAM:inst1|memory[9][31]~feeder ; 1 ; 6 ; +; - RAM:inst1|memory[248][31]~feeder ; 1 ; 6 ; +; - RAM:inst1|memory[200][15]~feeder ; 1 ; 6 ; +; - RAM:inst1|memory[27][7]~feeder ; 1 ; 6 ; +; - RAM:inst1|memory[16][23]~feeder ; 1 ; 6 ; +; - RAM:inst1|memory[80][31]~feeder ; 1 ; 6 ; +; - RAM:inst1|memory[219][23]~feeder ; 1 ; 6 ; +; - RAM:inst1|memory[10][7]~feeder ; 1 ; 6 ; +; - RAM:inst1|memory[87][7]~feeder ; 1 ; 6 ; +; - RAM:inst1|memory[95][7]~feeder ; 1 ; 6 ; +; - RAM:inst1|memory[149][23]~feeder ; 1 ; 6 ; +; - RAM:inst1|memory[157][31]~feeder ; 1 ; 6 ; +; - RAM:inst1|memory[149][31]~feeder ; 1 ; 6 ; +; - RAM:inst1|memory[146][7]~feeder ; 1 ; 6 ; +; - RAM:inst1|memory[10][23]~feeder ; 1 ; 6 ; +; - RAM:inst1|memory[2][23]~feeder ; 1 ; 6 ; +; - RAM:inst1|memory[99][23]~feeder ; 1 ; 6 ; +; - RAM:inst1|memory[99][7]~feeder ; 1 ; 6 ; +; - RAM:inst1|memory[108][15]~feeder ; 1 ; 6 ; +; - RAM:inst1|memory[23][23]~feeder ; 1 ; 6 ; +; - RAM:inst1|memory[23][31]~feeder ; 1 ; 6 ; +; - RAM:inst1|memory[156][15]~feeder ; 1 ; 6 ; +; - RAM:inst1|memory[171][15]~feeder ; 1 ; 6 ; +; - RAM:inst1|memory[175][15]~feeder ; 1 ; 6 ; +; - RAM:inst1|memory[200][7]~feeder ; 1 ; 6 ; +; - RAM:inst1|memory[203][7]~feeder ; 1 ; 6 ; +; - RAM:inst1|memory[111][7]~feeder ; 1 ; 6 ; +; - RAM:inst1|memory[37][23]~feeder ; 1 ; 6 ; +; - RAM:inst1|memory[165][31]~feeder ; 1 ; 6 ; +; - RAM:inst1|memory[165][23]~feeder ; 1 ; 6 ; +; - RAM:inst1|memory[107][15]~feeder ; 1 ; 6 ; +; - RAM:inst1|memory[111][15]~feeder ; 1 ; 6 ; +; - RAM:inst1|memory[215][31]~feeder ; 1 ; 6 ; +; - RAM:inst1|memory[213][31]~feeder ; 1 ; 6 ; +; - RAM:inst1|memory[92][15]~feeder ; 1 ; 6 ; +; - RAM:inst1|memory[87][15]~feeder ; 1 ; 6 ; +; - RAM:inst1|memory[87][31]~feeder ; 1 ; 6 ; +; - RAM:inst1|memory[101][7]~feeder ; 1 ; 6 ; +; - RAM:inst1|memory[99][15]~feeder ; 1 ; 6 ; +; - RAM:inst1|memory[199][23]~feeder ; 1 ; 6 ; +; - RAM:inst1|memory[198][23]~feeder ; 1 ; 6 ; +; - RAM:inst1|memory[173][23]~feeder ; 1 ; 6 ; +; - RAM:inst1|memory[102][7]~feeder ; 1 ; 6 ; +; - RAM:inst1|memory[212][31]~feeder ; 1 ; 6 ; +; - RAM:inst1|memory[196][7]~feeder ; 1 ; 6 ; +; - RAM:inst1|memory[199][7]~feeder ; 1 ; 6 ; +; - RAM:inst1|memory[84][15]~feeder ; 1 ; 6 ; +; - RAM:inst1|memory[212][15]~feeder ; 1 ; 6 ; +; - RAM:inst1|memory[172][31]~feeder ; 1 ; 6 ; +; - RAM:inst1|memory[159][15]~feeder ; 1 ; 6 ; +; - RAM:inst1|memory[23][15]~feeder ; 1 ; 6 ; +; - RAM:inst1|memory[1][15]~feeder ; 1 ; 6 ; +; - RAM:inst1|memory[165][7]~feeder ; 1 ; 6 ; +; - RAM:inst1|memory[133][7]~feeder ; 1 ; 6 ; +; - RAM:inst1|memory[237][15]~feeder ; 1 ; 6 ; +; - RAM:inst1|memory[239][15]~feeder ; 1 ; 6 ; +; - RAM:inst1|memory[163][31]~feeder ; 1 ; 6 ; +; - RAM:inst1|memory[161][7]~feeder ; 1 ; 6 ; +; - RAM:inst1|memory[213][15]~feeder ; 1 ; 6 ; +; - RAM:inst1|memory[129][15]~feeder ; 1 ; 6 ; +; - RAM:inst1|memory[103][7]~feeder ; 1 ; 6 ; +; - RAM:inst1|memory[167][31]~feeder ; 1 ; 6 ; +; - RAM:inst1|memory[164][31]~feeder ; 1 ; 6 ; +; - RAM:inst1|memory[164][15]~feeder ; 1 ; 6 ; +; - RAM:inst1|memory[246][15]~feeder ; 1 ; 6 ; +; - RAM:inst1|memory[118][15]~feeder ; 1 ; 6 ; +; - RAM:inst1|memory[102][31]~feeder ; 1 ; 6 ; +; - RAM:inst1|memory[166][15]~feeder ; 1 ; 6 ; +; - RAM:inst1|memory[182][7]~feeder ; 1 ; 6 ; +; - RAM:inst1|memory[150][7]~feeder ; 1 ; 6 ; +; - RAM:inst1|memory[162][15]~feeder ; 1 ; 6 ; +; - RAM:inst1|memory[162][31]~feeder ; 1 ; 6 ; +; - RAM:inst1|memory[246][23]~feeder ; 1 ; 6 ; +; - RAM:inst1|memory[230][23]~feeder ; 1 ; 6 ; +; - RAM:inst1|memory[166][31]~feeder ; 1 ; 6 ; +; - RAM:inst1|memory[158][23]~feeder ; 1 ; 6 ; +; - RAM:inst1|memory[222][23]~feeder ; 1 ; 6 ; +; - RAM:inst1|memory[206][23]~feeder ; 1 ; 6 ; +; - RAM:inst1|memory[238][23]~feeder ; 1 ; 6 ; +; - RAM:inst1|memory[98][31]~feeder ; 1 ; 6 ; +; - RAM:inst1|memory[34][23]~feeder ; 1 ; 6 ; +; - RAM:inst1|memory[102][23]~feeder ; 1 ; 6 ; +; - RAM:inst1|memory[134][23]~feeder ; 1 ; 6 ; +; - RAM:inst1|memory[166][23]~feeder ; 1 ; 6 ; +; - RAM:inst1|memory[46][7]~feeder ; 1 ; 6 ; +; - RAM:inst1|memory[110][7]~feeder ; 1 ; 6 ; +; - RAM:inst1|memory[62][7]~feeder ; 1 ; 6 ; +; - RAM:inst1|memory[158][15]~feeder ; 1 ; 6 ; +; - RAM:inst1|memory[190][15]~feeder ; 1 ; 6 ; +; - RAM:inst1|memory[226][23]~feeder ; 1 ; 6 ; +; - RAM:inst1|memory[174][7]~feeder ; 1 ; 6 ; +; - RAM:inst1|memory[190][7]~feeder ; 1 ; 6 ; +; - RAM:inst1|memory[142][7]~feeder ; 1 ; 6 ; +; - RAM:inst1|memory[86][7]~feeder ; 1 ; 6 ; +; - RAM:inst1|memory[38][31]~feeder ; 1 ; 6 ; +; - RAM:inst1|memory[182][23]~feeder ; 1 ; 6 ; +; - RAM:inst1|memory[38][23]~feeder ; 1 ; 6 ; +; - RAM:inst1|memory[38][7]~feeder ; 1 ; 6 ; +; - RAM:inst1|memory[102][15]~feeder ; 1 ; 6 ; +; - RAM:inst1|memory[94][23]~feeder ; 1 ; 6 ; +; - RAM:inst1|memory[14][7]~feeder ; 1 ; 6 ; +; - RAM:inst1|memory[6][31]~feeder ; 1 ; 6 ; +; - RAM:inst1|memory[86][23]~feeder ; 1 ; 6 ; +; - RAM:inst1|memory[86][31]~feeder ; 1 ; 6 ; +; - RAM:inst1|memory[22][23]~feeder ; 1 ; 6 ; +; - RAM:inst1|memory[6][23]~feeder ; 1 ; 6 ; +; - RAM:inst1|memory[6][7]~feeder ; 1 ; 6 ; +; - RAM:inst1|memory[150][31]~feeder ; 1 ; 6 ; +; - RAM:inst1|memory[150][15]~feeder ; 1 ; 6 ; +; - RAM:inst1|memory[38][15]~feeder ; 1 ; 6 ; +; - RAM:inst1|memory[6][15]~feeder ; 1 ; 6 ; +; - RAM:inst1|memory[196][15]~feeder ; 1 ; 6 ; +; - RAM:inst1|memory[198][15]~feeder ; 1 ; 6 ; +; - RAM:inst1|memory[230][15]~feeder ; 1 ; 6 ; +; - RAM:inst1|memory[228][15]~feeder ; 1 ; 6 ; +; - RAM:inst1|memory[197][15]~feeder ; 1 ; 6 ; +; - RAM:inst1|memory[229][15]~feeder ; 1 ; 6 ; +; - RAM:inst1|memory[231][15]~feeder ; 1 ; 6 ; +; - RAM:inst1|memory[148][15]~feeder ; 1 ; 6 ; +; - RAM:inst1|memory[246][7]~feeder ; 1 ; 6 ; +; - RAM:inst1|memory[212][7]~feeder ; 1 ; 6 ; +; - RAM:inst1|memory[100][23]~feeder ; 1 ; 6 ; +; - RAM:inst1|memory[103][23]~feeder ; 1 ; 6 ; +; - RAM:inst1|memory[247][15]~feeder ; 1 ; 6 ; +; - RAM:inst1|memory[27][15]~feeder ; 1 ; 6 ; +; - RAM:inst1|memory[201][15]~feeder ; 1 ; 6 ; +; - RAM:inst1|memory[61][7]~feeder ; 1 ; 6 ; +; - RAM:inst1|memory[105][7]~feeder ; 1 ; 6 ; +; - RAM:inst1|memory[201][7]~feeder ; 1 ; 6 ; +; - RAM:inst1|memory[141][7]~feeder ; 1 ; 6 ; +; - RAM:inst1|memory[13][7]~feeder ; 1 ; 6 ; +; - RAM:inst1|memory[233][15]~feeder ; 1 ; 6 ; +; - RAM:inst1|memory[235][15]~feeder ; 1 ; 6 ; +; - RAM:inst1|memory[109][23]~feeder ; 1 ; 6 ; +; - RAM:inst1|memory[109][7]~feeder ; 1 ; 6 ; +; - RAM:inst1|memory[45][15]~feeder ; 1 ; 6 ; +; - RAM:inst1|memory[141][23]~feeder ; 1 ; 6 ; +; - RAM:inst1|memory[133][23]~feeder ; 1 ; 6 ; +; - RAM:inst1|memory[169][7]~feeder ; 1 ; 6 ; +; - RAM:inst1|memory[5][23]~feeder ; 1 ; 6 ; +; - RAM:inst1|memory[169][15]~feeder ; 1 ; 6 ; +; - RAM:inst1|memory[227][23]~feeder ; 1 ; 6 ; +; - RAM:inst1|memory[97][23]~feeder ; 1 ; 6 ; +; - RAM:inst1|memory[95][15]~feeder ; 1 ; 6 ; +; - RAM:inst1|memory[97][15]~feeder ; 1 ; 6 ; +; - RAM:inst1|memory[85][7]~feeder ; 1 ; 6 ; +; - RAM:inst1|memory[93][7]~feeder ; 1 ; 6 ; +; Data[6] ; ; ; +; - RAM:inst1|memory[102][22] ; 0 ; 6 ; +; - RAM:inst1|memory[86][22] ; 0 ; 6 ; +; - RAM:inst1|memory[70][22] ; 0 ; 6 ; +; - RAM:inst1|memory[166][22] ; 0 ; 6 ; +; - RAM:inst1|memory[134][22] ; 0 ; 6 ; +; - RAM:inst1|memory[182][22] ; 0 ; 6 ; +; - RAM:inst1|memory[22][22] ; 0 ; 6 ; +; - RAM:inst1|memory[6][22] ; 0 ; 6 ; +; - RAM:inst1|memory[54][22] ; 0 ; 6 ; +; - RAM:inst1|memory[230][22] ; 0 ; 6 ; +; - RAM:inst1|memory[214][22] ; 0 ; 6 ; +; - RAM:inst1|memory[198][22] ; 0 ; 6 ; +; - RAM:inst1|memory[154][22] ; 1 ; 6 ; +; - RAM:inst1|memory[26][22] ; 1 ; 6 ; +; - RAM:inst1|memory[218][22] ; 1 ; 6 ; +; - RAM:inst1|memory[170][22] ; 1 ; 6 ; +; - RAM:inst1|memory[42][22] ; 1 ; 6 ; +; - RAM:inst1|memory[234][22] ; 1 ; 6 ; +; - RAM:inst1|memory[138][22] ; 1 ; 6 ; +; - RAM:inst1|memory[74][22] ; 1 ; 6 ; +; - RAM:inst1|memory[10][22] ; 1 ; 6 ; +; - RAM:inst1|memory[202][22] ; 1 ; 6 ; +; - RAM:inst1|memory[122][22] ; 1 ; 6 ; +; - RAM:inst1|memory[186][22] ; 1 ; 6 ; +; - RAM:inst1|memory[58][22] ; 1 ; 6 ; +; - RAM:inst1|memory[250][22] ; 1 ; 6 ; +; - RAM:inst1|memory[98][22] ; 0 ; 6 ; +; - RAM:inst1|memory[34][22] ; 0 ; 6 ; +; - RAM:inst1|memory[226][22] ; 0 ; 6 ; +; - RAM:inst1|memory[82][22] ; 1 ; 6 ; +; - RAM:inst1|memory[18][22] ; 1 ; 6 ; +; - RAM:inst1|memory[210][22] ; 1 ; 6 ; +; - RAM:inst1|memory[66][22] ; 1 ; 6 ; +; - RAM:inst1|memory[2][22] ; 1 ; 6 ; +; - RAM:inst1|memory[194][22] ; 1 ; 6 ; +; - RAM:inst1|memory[178][22] ; 0 ; 6 ; +; - RAM:inst1|memory[114][22] ; 0 ; 6 ; +; - RAM:inst1|memory[50][22] ; 1 ; 6 ; +; - RAM:inst1|memory[242][22] ; 1 ; 6 ; +; - RAM:inst1|memory[174][22] ; 0 ; 6 ; +; - RAM:inst1|memory[158][22] ; 0 ; 6 ; +; - RAM:inst1|memory[142][22] ; 0 ; 6 ; +; - RAM:inst1|memory[94][22] ; 0 ; 6 ; +; - RAM:inst1|memory[78][22] ; 1 ; 6 ; +; - RAM:inst1|memory[126][22] ; 1 ; 6 ; +; - RAM:inst1|memory[46][22] ; 0 ; 6 ; +; - RAM:inst1|memory[30][22] ; 0 ; 6 ; +; - RAM:inst1|memory[14][22] ; 0 ; 6 ; +; - RAM:inst1|memory[62][22] ; 0 ; 6 ; +; - RAM:inst1|memory[222][22] ; 1 ; 6 ; +; - RAM:inst1|memory[238][22] ; 0 ; 6 ; +; - RAM:inst1|memory[206][22] ; 0 ; 6 ; +; - RAM:inst1|memory[254][22] ; 0 ; 6 ; +; - RAM:inst1|memory[26][14] ; 1 ; 6 ; +; - RAM:inst1|memory[218][14] ; 1 ; 6 ; +; - RAM:inst1|memory[170][14] ; 1 ; 6 ; +; - RAM:inst1|memory[42][14] ; 1 ; 6 ; +; - RAM:inst1|memory[234][14] ; 0 ; 6 ; +; - RAM:inst1|memory[138][14] ; 1 ; 6 ; +; - RAM:inst1|memory[10][14] ; 1 ; 6 ; +; - RAM:inst1|memory[202][14] ; 1 ; 6 ; +; - RAM:inst1|memory[186][14] ; 1 ; 6 ; +; - RAM:inst1|memory[58][14] ; 1 ; 6 ; +; - RAM:inst1|memory[250][14] ; 1 ; 6 ; +; - RAM:inst1|memory[86][14] ; 0 ; 6 ; +; - RAM:inst1|memory[70][14] ; 0 ; 6 ; +; - RAM:inst1|memory[118][14] ; 0 ; 6 ; +; - RAM:inst1|memory[150][14] ; 0 ; 6 ; +; - RAM:inst1|memory[134][14] ; 0 ; 6 ; +; - RAM:inst1|memory[182][14] ; 0 ; 6 ; +; - RAM:inst1|memory[38][14] ; 0 ; 6 ; +; - RAM:inst1|memory[6][14] ; 0 ; 6 ; +; - RAM:inst1|memory[54][14] ; 0 ; 6 ; +; - RAM:inst1|memory[214][14] ; 0 ; 6 ; +; - RAM:inst1|memory[198][14] ; 0 ; 6 ; +; - RAM:inst1|memory[246][14] ; 0 ; 6 ; +; - RAM:inst1|memory[130][14] ; 0 ; 6 ; +; - RAM:inst1|memory[178][14] ; 1 ; 6 ; +; - RAM:inst1|memory[66][14] ; 0 ; 6 ; +; - RAM:inst1|memory[114][14] ; 0 ; 6 ; +; - RAM:inst1|memory[18][14] ; 1 ; 6 ; +; - RAM:inst1|memory[2][14] ; 0 ; 6 ; +; - RAM:inst1|memory[50][14] ; 0 ; 6 ; +; - RAM:inst1|memory[226][14] ; 0 ; 6 ; +; - RAM:inst1|memory[210][14] ; 1 ; 6 ; +; - RAM:inst1|memory[194][14] ; 1 ; 6 ; +; - RAM:inst1|memory[242][14] ; 0 ; 6 ; +; - RAM:inst1|memory[174][14] ; 0 ; 6 ; +; - RAM:inst1|memory[142][14] ; 0 ; 6 ; +; - RAM:inst1|memory[190][14] ; 1 ; 6 ; +; - RAM:inst1|memory[94][14] ; 0 ; 6 ; +; - RAM:inst1|memory[78][14] ; 0 ; 6 ; +; - RAM:inst1|memory[126][14] ; 0 ; 6 ; +; - RAM:inst1|memory[30][14] ; 1 ; 6 ; +; - RAM:inst1|memory[14][14] ; 0 ; 6 ; +; - RAM:inst1|memory[62][14] ; 0 ; 6 ; +; - RAM:inst1|memory[222][14] ; 0 ; 6 ; +; - RAM:inst1|memory[238][14] ; 0 ; 6 ; +; - RAM:inst1|memory[206][14] ; 0 ; 6 ; +; - RAM:inst1|memory[254][14] ; 0 ; 6 ; +; - RAM:inst1|memory[102][6] ; 0 ; 6 ; +; - RAM:inst1|memory[86][6] ; 0 ; 6 ; +; - RAM:inst1|memory[70][6] ; 0 ; 6 ; +; - RAM:inst1|memory[118][6] ; 0 ; 6 ; +; - RAM:inst1|memory[150][6] ; 0 ; 6 ; +; - RAM:inst1|memory[166][6] ; 0 ; 6 ; +; - RAM:inst1|memory[134][6] ; 0 ; 6 ; +; - RAM:inst1|memory[182][6] ; 0 ; 6 ; +; - RAM:inst1|memory[6][6] ; 0 ; 6 ; +; - RAM:inst1|memory[54][6] ; 0 ; 6 ; +; - RAM:inst1|memory[230][6] ; 0 ; 6 ; +; - RAM:inst1|memory[198][6] ; 0 ; 6 ; +; - RAM:inst1|memory[246][6] ; 0 ; 6 ; +; - RAM:inst1|memory[154][6] ; 1 ; 6 ; +; - RAM:inst1|memory[170][6] ; 1 ; 6 ; +; - RAM:inst1|memory[138][6] ; 1 ; 6 ; +; - RAM:inst1|memory[186][6] ; 1 ; 6 ; +; - RAM:inst1|memory[74][6] ; 1 ; 6 ; +; - RAM:inst1|memory[122][6] ; 1 ; 6 ; +; - RAM:inst1|memory[10][6] ; 1 ; 6 ; +; - RAM:inst1|memory[58][6] ; 1 ; 6 ; +; - RAM:inst1|memory[234][6] ; 1 ; 6 ; +; - RAM:inst1|memory[202][6] ; 1 ; 6 ; +; - RAM:inst1|memory[250][6] ; 1 ; 6 ; +; - RAM:inst1|memory[162][6] ; 0 ; 6 ; +; - RAM:inst1|memory[130][6] ; 0 ; 6 ; +; - RAM:inst1|memory[178][6] ; 0 ; 6 ; +; - RAM:inst1|memory[66][6] ; 0 ; 6 ; +; - RAM:inst1|memory[114][6] ; 1 ; 6 ; +; - RAM:inst1|memory[18][6] ; 1 ; 6 ; +; - RAM:inst1|memory[34][6] ; 0 ; 6 ; +; - RAM:inst1|memory[2][6] ; 0 ; 6 ; +; - RAM:inst1|memory[50][6] ; 1 ; 6 ; +; - RAM:inst1|memory[226][6] ; 0 ; 6 ; +; - RAM:inst1|memory[194][6] ; 0 ; 6 ; +; - RAM:inst1|memory[242][6] ; 0 ; 6 ; +; - RAM:inst1|memory[78][6] ; 0 ; 6 ; +; - RAM:inst1|memory[126][6] ; 0 ; 6 ; +; - RAM:inst1|memory[174][6] ; 0 ; 6 ; +; - RAM:inst1|memory[142][6] ; 0 ; 6 ; +; - RAM:inst1|memory[190][6] ; 0 ; 6 ; +; - RAM:inst1|memory[14][6] ; 0 ; 6 ; +; - RAM:inst1|memory[62][6] ; 0 ; 6 ; +; - RAM:inst1|memory[206][6] ; 0 ; 6 ; +; - RAM:inst1|memory[254][6] ; 0 ; 6 ; +; - RAM:inst1|memory[169][22] ; 0 ; 6 ; +; - RAM:inst1|memory[169][14] ; 0 ; 6 ; +; - RAM:inst1|memory[169][6] ; 0 ; 6 ; +; - RAM:inst1|memory[105][14] ; 0 ; 6 ; +; - RAM:inst1|memory[105][22] ; 0 ; 6 ; +; - RAM:inst1|memory[105][6] ; 0 ; 6 ; +; - RAM:inst1|memory[41][22] ; 0 ; 6 ; +; - RAM:inst1|memory[41][14] ; 0 ; 6 ; +; - RAM:inst1|memory[41][6] ; 0 ; 6 ; +; - RAM:inst1|memory[233][6] ; 0 ; 6 ; +; - RAM:inst1|memory[101][14] ; 0 ; 6 ; +; - RAM:inst1|memory[101][22] ; 0 ; 6 ; +; - RAM:inst1|memory[101][6] ; 0 ; 6 ; +; - RAM:inst1|memory[165][22] ; 0 ; 6 ; +; - RAM:inst1|memory[165][6] ; 0 ; 6 ; +; - RAM:inst1|memory[37][6] ; 0 ; 6 ; +; - RAM:inst1|memory[229][14] ; 0 ; 6 ; +; - RAM:inst1|memory[229][22] ; 0 ; 6 ; +; - RAM:inst1|memory[229][6] ; 0 ; 6 ; +; - RAM:inst1|memory[161][6] ; 0 ; 6 ; +; - RAM:inst1|memory[97][6] ; 0 ; 6 ; +; - RAM:inst1|memory[33][14] ; 0 ; 6 ; +; - RAM:inst1|memory[33][6] ; 0 ; 6 ; +; - RAM:inst1|memory[225][6] ; 0 ; 6 ; +; - RAM:inst1|memory[109][6] ; 0 ; 6 ; +; - RAM:inst1|memory[173][22] ; 0 ; 6 ; +; - RAM:inst1|memory[173][6] ; 0 ; 6 ; +; - RAM:inst1|memory[45][22] ; 0 ; 6 ; +; - RAM:inst1|memory[45][14] ; 0 ; 6 ; +; - RAM:inst1|memory[45][6] ; 0 ; 6 ; +; - RAM:inst1|memory[237][22] ; 0 ; 6 ; +; - RAM:inst1|memory[237][6] ; 0 ; 6 ; +; - RAM:inst1|memory[153][14] ; 0 ; 6 ; +; - RAM:inst1|memory[145][14] ; 0 ; 6 ; +; - RAM:inst1|memory[157][14] ; 0 ; 6 ; +; - RAM:inst1|memory[85][14] ; 0 ; 6 ; +; - RAM:inst1|memory[81][14] ; 0 ; 6 ; +; - RAM:inst1|memory[93][14] ; 0 ; 6 ; +; - RAM:inst1|memory[25][14] ; 0 ; 6 ; +; - RAM:inst1|memory[21][14] ; 0 ; 6 ; +; - RAM:inst1|memory[17][14] ; 0 ; 6 ; +; - RAM:inst1|memory[29][14] ; 0 ; 6 ; +; - RAM:inst1|memory[209][14] ; 0 ; 6 ; +; - RAM:inst1|memory[221][14] ; 0 ; 6 ; +; - RAM:inst1|memory[89][22] ; 0 ; 6 ; +; - RAM:inst1|memory[25][22] ; 0 ; 6 ; +; - RAM:inst1|memory[217][22] ; 1 ; 6 ; +; - RAM:inst1|memory[21][22] ; 1 ; 6 ; +; - RAM:inst1|memory[213][22] ; 1 ; 6 ; +; - RAM:inst1|memory[81][22] ; 1 ; 6 ; +; - RAM:inst1|memory[145][22] ; 0 ; 6 ; +; - RAM:inst1|memory[17][22] ; 0 ; 6 ; +; - RAM:inst1|memory[209][22] ; 1 ; 6 ; +; - RAM:inst1|memory[157][22] ; 1 ; 6 ; +; - RAM:inst1|memory[29][22] ; 0 ; 6 ; +; - RAM:inst1|memory[221][22] ; 1 ; 6 ; +; - RAM:inst1|memory[21][6] ; 0 ; 6 ; +; - RAM:inst1|memory[213][6] ; 0 ; 6 ; +; - RAM:inst1|memory[153][6] ; 0 ; 6 ; +; - RAM:inst1|memory[25][6] ; 0 ; 6 ; +; - RAM:inst1|memory[217][6] ; 0 ; 6 ; +; - RAM:inst1|memory[145][6] ; 0 ; 6 ; +; - RAM:inst1|memory[81][6] ; 0 ; 6 ; +; - RAM:inst1|memory[17][6] ; 0 ; 6 ; +; - RAM:inst1|memory[209][6] ; 0 ; 6 ; +; - RAM:inst1|memory[157][6] ; 0 ; 6 ; +; - RAM:inst1|memory[29][6] ; 0 ; 6 ; +; - RAM:inst1|memory[221][6] ; 0 ; 6 ; +; - RAM:inst1|memory[5][22] ; 0 ; 6 ; +; - RAM:inst1|memory[197][22] ; 0 ; 6 ; +; - RAM:inst1|memory[137][22] ; 0 ; 6 ; +; - RAM:inst1|memory[9][22] ; 0 ; 6 ; +; - RAM:inst1|memory[201][22] ; 0 ; 6 ; +; - RAM:inst1|memory[129][22] ; 0 ; 6 ; +; - RAM:inst1|memory[65][22] ; 0 ; 6 ; +; - RAM:inst1|memory[1][22] ; 1 ; 6 ; +; - RAM:inst1|memory[193][22] ; 0 ; 6 ; +; - RAM:inst1|memory[77][22] ; 0 ; 6 ; +; - RAM:inst1|memory[13][22] ; 1 ; 6 ; +; - RAM:inst1|memory[205][22] ; 0 ; 6 ; +; - RAM:inst1|memory[137][14] ; 0 ; 6 ; +; - RAM:inst1|memory[73][14] ; 0 ; 6 ; +; - RAM:inst1|memory[9][14] ; 0 ; 6 ; +; - RAM:inst1|memory[201][14] ; 0 ; 6 ; +; - RAM:inst1|memory[69][14] ; 0 ; 6 ; +; - RAM:inst1|memory[133][14] ; 0 ; 6 ; +; - RAM:inst1|memory[5][14] ; 0 ; 6 ; +; - RAM:inst1|memory[197][14] ; 0 ; 6 ; +; - RAM:inst1|memory[129][14] ; 0 ; 6 ; +; - RAM:inst1|memory[65][14] ; 0 ; 6 ; +; - RAM:inst1|memory[1][14] ; 0 ; 6 ; +; - RAM:inst1|memory[193][14] ; 0 ; 6 ; +; - RAM:inst1|memory[141][14] ; 0 ; 6 ; +; - RAM:inst1|memory[13][14] ; 0 ; 6 ; +; - RAM:inst1|memory[205][14] ; 0 ; 6 ; +; - RAM:inst1|memory[133][6] ; 0 ; 6 ; +; - RAM:inst1|memory[5][6] ; 0 ; 6 ; +; - RAM:inst1|memory[197][6] ; 0 ; 6 ; +; - RAM:inst1|memory[137][6] ; 0 ; 6 ; +; - RAM:inst1|memory[73][6] ; 0 ; 6 ; +; - RAM:inst1|memory[9][6] ; 0 ; 6 ; +; - RAM:inst1|memory[201][6] ; 0 ; 6 ; +; - RAM:inst1|memory[129][6] ; 0 ; 6 ; +; - RAM:inst1|memory[65][6] ; 0 ; 6 ; +; - RAM:inst1|memory[1][6] ; 0 ; 6 ; +; - RAM:inst1|memory[193][6] ; 0 ; 6 ; +; - RAM:inst1|memory[77][6] ; 0 ; 6 ; +; - RAM:inst1|memory[141][6] ; 0 ; 6 ; +; - RAM:inst1|memory[13][6] ; 0 ; 6 ; +; - RAM:inst1|memory[205][6] ; 0 ; 6 ; +; - RAM:inst1|memory[117][22] ; 0 ; 6 ; +; - RAM:inst1|memory[113][22] ; 0 ; 6 ; +; - RAM:inst1|memory[125][22] ; 0 ; 6 ; +; - RAM:inst1|memory[181][22] ; 0 ; 6 ; +; - RAM:inst1|memory[177][22] ; 0 ; 6 ; +; - RAM:inst1|memory[189][22] ; 0 ; 6 ; +; - RAM:inst1|memory[53][22] ; 0 ; 6 ; +; - RAM:inst1|memory[49][22] ; 0 ; 6 ; +; - RAM:inst1|memory[61][22] ; 0 ; 6 ; +; - RAM:inst1|memory[245][22] ; 0 ; 6 ; +; - RAM:inst1|memory[249][22] ; 0 ; 6 ; +; - RAM:inst1|memory[241][22] ; 0 ; 6 ; +; - RAM:inst1|memory[253][22] ; 0 ; 6 ; +; - RAM:inst1|memory[181][14] ; 0 ; 6 ; +; - RAM:inst1|memory[53][14] ; 0 ; 6 ; +; - RAM:inst1|memory[245][14] ; 0 ; 6 ; +; - RAM:inst1|memory[121][14] ; 0 ; 6 ; +; - RAM:inst1|memory[185][14] ; 0 ; 6 ; +; - RAM:inst1|memory[57][14] ; 0 ; 6 ; +; - RAM:inst1|memory[249][14] ; 0 ; 6 ; +; - RAM:inst1|memory[113][14] ; 0 ; 6 ; +; - RAM:inst1|memory[177][14] ; 0 ; 6 ; +; - RAM:inst1|memory[49][14] ; 0 ; 6 ; +; - RAM:inst1|memory[241][14] ; 0 ; 6 ; +; - RAM:inst1|memory[125][14] ; 0 ; 6 ; +; - RAM:inst1|memory[61][14] ; 0 ; 6 ; +; - RAM:inst1|memory[253][14] ; 0 ; 6 ; +; - RAM:inst1|memory[181][6] ; 0 ; 6 ; +; - RAM:inst1|memory[177][6] ; 0 ; 6 ; +; - RAM:inst1|memory[189][6] ; 0 ; 6 ; +; - RAM:inst1|memory[121][6] ; 0 ; 6 ; +; - RAM:inst1|memory[113][6] ; 0 ; 6 ; +; - RAM:inst1|memory[125][6] ; 0 ; 6 ; +; - RAM:inst1|memory[53][6] ; 0 ; 6 ; +; - RAM:inst1|memory[57][6] ; 0 ; 6 ; +; - RAM:inst1|memory[49][6] ; 0 ; 6 ; +; - RAM:inst1|memory[61][6] ; 0 ; 6 ; +; - RAM:inst1|memory[249][6] ; 0 ; 6 ; +; - RAM:inst1|memory[245][6] ; 0 ; 6 ; +; - RAM:inst1|memory[241][6] ; 0 ; 6 ; +; - RAM:inst1|memory[253][6] ; 0 ; 6 ; +; - RAM:inst1|memory[164][14] ; 0 ; 6 ; +; - RAM:inst1|memory[100][14] ; 0 ; 6 ; +; - RAM:inst1|memory[36][14] ; 0 ; 6 ; +; - RAM:inst1|memory[84][14] ; 0 ; 6 ; +; - RAM:inst1|memory[148][14] ; 0 ; 6 ; +; - RAM:inst1|memory[20][14] ; 0 ; 6 ; +; - RAM:inst1|memory[212][14] ; 0 ; 6 ; +; - RAM:inst1|memory[132][14] ; 0 ; 6 ; +; - RAM:inst1|memory[68][14] ; 0 ; 6 ; +; - RAM:inst1|memory[4][14] ; 0 ; 6 ; +; - RAM:inst1|memory[196][14] ; 0 ; 6 ; +; - RAM:inst1|memory[180][14] ; 0 ; 6 ; +; - RAM:inst1|memory[52][14] ; 0 ; 6 ; +; - RAM:inst1|memory[244][14] ; 0 ; 6 ; +; - RAM:inst1|memory[20][22] ; 0 ; 6 ; +; - RAM:inst1|memory[212][22] ; 0 ; 6 ; +; - RAM:inst1|memory[36][22] ; 0 ; 6 ; +; - RAM:inst1|memory[228][22] ; 0 ; 6 ; +; - RAM:inst1|memory[4][22] ; 0 ; 6 ; +; - RAM:inst1|memory[196][22] ; 0 ; 6 ; +; - RAM:inst1|memory[52][22] ; 0 ; 6 ; +; - RAM:inst1|memory[244][22] ; 0 ; 6 ; +; - RAM:inst1|memory[148][6] ; 0 ; 6 ; +; - RAM:inst1|memory[20][6] ; 0 ; 6 ; +; - RAM:inst1|memory[212][6] ; 0 ; 6 ; +; - RAM:inst1|memory[164][6] ; 0 ; 6 ; +; - RAM:inst1|memory[36][6] ; 0 ; 6 ; +; - RAM:inst1|memory[228][6] ; 0 ; 6 ; +; - RAM:inst1|memory[68][6] ; 0 ; 6 ; +; - RAM:inst1|memory[4][6] ; 0 ; 6 ; +; - RAM:inst1|memory[196][6] ; 0 ; 6 ; +; - RAM:inst1|memory[116][6] ; 0 ; 6 ; +; - RAM:inst1|memory[52][6] ; 0 ; 6 ; +; - RAM:inst1|memory[244][6] ; 0 ; 6 ; +; - RAM:inst1|memory[24][22] ; 1 ; 6 ; +; - RAM:inst1|memory[216][22] ; 1 ; 6 ; +; - RAM:inst1|memory[168][22] ; 0 ; 6 ; +; - RAM:inst1|memory[104][22] ; 0 ; 6 ; +; - RAM:inst1|memory[40][22] ; 0 ; 6 ; +; - RAM:inst1|memory[232][22] ; 0 ; 6 ; +; - RAM:inst1|memory[136][22] ; 0 ; 6 ; +; - RAM:inst1|memory[8][22] ; 0 ; 6 ; +; - RAM:inst1|memory[200][22] ; 0 ; 6 ; +; - RAM:inst1|memory[120][22] ; 1 ; 6 ; +; - RAM:inst1|memory[56][22] ; 1 ; 6 ; +; - RAM:inst1|memory[248][22] ; 1 ; 6 ; +; - RAM:inst1|memory[168][14] ; 0 ; 6 ; +; - RAM:inst1|memory[104][14] ; 1 ; 6 ; +; - RAM:inst1|memory[40][14] ; 0 ; 6 ; +; - RAM:inst1|memory[232][14] ; 0 ; 6 ; +; - RAM:inst1|memory[88][14] ; 1 ; 6 ; +; - RAM:inst1|memory[152][14] ; 0 ; 6 ; +; - RAM:inst1|memory[24][14] ; 0 ; 6 ; +; - RAM:inst1|memory[216][14] ; 1 ; 6 ; +; - RAM:inst1|memory[136][14] ; 0 ; 6 ; +; - RAM:inst1|memory[8][14] ; 1 ; 6 ; +; - RAM:inst1|memory[200][14] ; 0 ; 6 ; +; - RAM:inst1|memory[120][14] ; 1 ; 6 ; +; - RAM:inst1|memory[184][14] ; 1 ; 6 ; +; - RAM:inst1|memory[56][14] ; 1 ; 6 ; +; - RAM:inst1|memory[248][14] ; 0 ; 6 ; +; - RAM:inst1|memory[88][6] ; 0 ; 6 ; +; - RAM:inst1|memory[24][6] ; 1 ; 6 ; +; - RAM:inst1|memory[216][6] ; 1 ; 6 ; +; - RAM:inst1|memory[168][6] ; 0 ; 6 ; +; - RAM:inst1|memory[104][6] ; 0 ; 6 ; +; - RAM:inst1|memory[40][6] ; 0 ; 6 ; +; - RAM:inst1|memory[232][6] ; 0 ; 6 ; +; - RAM:inst1|memory[136][6] ; 0 ; 6 ; +; - RAM:inst1|memory[72][6] ; 0 ; 6 ; +; - RAM:inst1|memory[8][6] ; 0 ; 6 ; +; - RAM:inst1|memory[200][6] ; 0 ; 6 ; +; - RAM:inst1|memory[120][6] ; 0 ; 6 ; +; - RAM:inst1|memory[56][6] ; 1 ; 6 ; +; - RAM:inst1|memory[248][6] ; 1 ; 6 ; +; - RAM:inst1|memory[144][22] ; 1 ; 6 ; +; - RAM:inst1|memory[16][22] ; 1 ; 6 ; +; - RAM:inst1|memory[208][22] ; 1 ; 6 ; +; - RAM:inst1|memory[160][22] ; 0 ; 6 ; +; - RAM:inst1|memory[96][22] ; 0 ; 6 ; +; - RAM:inst1|memory[32][22] ; 0 ; 6 ; +; - RAM:inst1|memory[224][22] ; 0 ; 6 ; +; - RAM:inst1|memory[128][22] ; 0 ; 6 ; +; - RAM:inst1|memory[0][22] ; 0 ; 6 ; +; - RAM:inst1|memory[192][22] ; 0 ; 6 ; +; - RAM:inst1|memory[112][22] ; 0 ; 6 ; +; - RAM:inst1|memory[48][22] ; 0 ; 6 ; +; - RAM:inst1|memory[240][22] ; 1 ; 6 ; +; - RAM:inst1|memory[96][14] ; 0 ; 6 ; +; - RAM:inst1|memory[32][14] ; 0 ; 6 ; +; - RAM:inst1|memory[224][14] ; 0 ; 6 ; +; - RAM:inst1|memory[80][14] ; 1 ; 6 ; +; - RAM:inst1|memory[144][14] ; 1 ; 6 ; +; - RAM:inst1|memory[16][14] ; 1 ; 6 ; +; - RAM:inst1|memory[208][14] ; 1 ; 6 ; +; - RAM:inst1|memory[128][14] ; 0 ; 6 ; +; - RAM:inst1|memory[64][14] ; 0 ; 6 ; +; - RAM:inst1|memory[0][14] ; 0 ; 6 ; +; - RAM:inst1|memory[192][14] ; 0 ; 6 ; +; - RAM:inst1|memory[112][14] ; 0 ; 6 ; +; - RAM:inst1|memory[176][14] ; 0 ; 6 ; +; - RAM:inst1|memory[48][14] ; 0 ; 6 ; +; - RAM:inst1|memory[240][14] ; 0 ; 6 ; +; - RAM:inst1|memory[80][6] ; 0 ; 6 ; +; - RAM:inst1|memory[144][6] ; 1 ; 6 ; +; - RAM:inst1|memory[16][6] ; 1 ; 6 ; +; - RAM:inst1|memory[208][6] ; 1 ; 6 ; +; - RAM:inst1|memory[160][6] ; 0 ; 6 ; +; - RAM:inst1|memory[32][6] ; 0 ; 6 ; +; - RAM:inst1|memory[224][6] ; 0 ; 6 ; +; - RAM:inst1|memory[128][6] ; 0 ; 6 ; +; - RAM:inst1|memory[64][6] ; 0 ; 6 ; +; - RAM:inst1|memory[0][6] ; 0 ; 6 ; +; - RAM:inst1|memory[192][6] ; 0 ; 6 ; +; - RAM:inst1|memory[176][6] ; 0 ; 6 ; +; - RAM:inst1|memory[48][6] ; 0 ; 6 ; +; - RAM:inst1|memory[240][6] ; 0 ; 6 ; +; - RAM:inst1|memory[108][14] ; 0 ; 6 ; +; - RAM:inst1|memory[44][14] ; 0 ; 6 ; +; - RAM:inst1|memory[236][14] ; 0 ; 6 ; +; - RAM:inst1|memory[92][14] ; 0 ; 6 ; +; - RAM:inst1|memory[28][14] ; 0 ; 6 ; +; - RAM:inst1|memory[220][14] ; 0 ; 6 ; +; - RAM:inst1|memory[76][14] ; 0 ; 6 ; +; - RAM:inst1|memory[12][14] ; 0 ; 6 ; +; - RAM:inst1|memory[204][14] ; 0 ; 6 ; +; - RAM:inst1|memory[60][14] ; 0 ; 6 ; +; - RAM:inst1|memory[252][14] ; 0 ; 6 ; +; - RAM:inst1|memory[28][22] ; 0 ; 6 ; +; - RAM:inst1|memory[220][22] ; 1 ; 6 ; +; - RAM:inst1|memory[172][22] ; 0 ; 6 ; +; - RAM:inst1|memory[108][22] ; 0 ; 6 ; +; - RAM:inst1|memory[44][22] ; 0 ; 6 ; +; - RAM:inst1|memory[236][22] ; 0 ; 6 ; +; - RAM:inst1|memory[140][22] ; 0 ; 6 ; +; - RAM:inst1|memory[12][22] ; 0 ; 6 ; +; - RAM:inst1|memory[204][22] ; 0 ; 6 ; +; - RAM:inst1|memory[124][22] ; 0 ; 6 ; +; - RAM:inst1|memory[188][22] ; 0 ; 6 ; +; - RAM:inst1|memory[60][22] ; 0 ; 6 ; +; - RAM:inst1|memory[252][22] ; 0 ; 6 ; +; - RAM:inst1|memory[28][6] ; 0 ; 6 ; +; - RAM:inst1|memory[220][6] ; 1 ; 6 ; +; - RAM:inst1|memory[172][6] ; 0 ; 6 ; +; - RAM:inst1|memory[108][6] ; 0 ; 6 ; +; - RAM:inst1|memory[44][6] ; 0 ; 6 ; +; - RAM:inst1|memory[236][6] ; 0 ; 6 ; +; - RAM:inst1|memory[140][6] ; 0 ; 6 ; +; - RAM:inst1|memory[12][6] ; 0 ; 6 ; +; - RAM:inst1|memory[204][6] ; 0 ; 6 ; +; - RAM:inst1|memory[124][6] ; 0 ; 6 ; +; - RAM:inst1|memory[188][6] ; 0 ; 6 ; +; - RAM:inst1|memory[60][6] ; 0 ; 6 ; +; - RAM:inst1|memory[252][6] ; 0 ; 6 ; +; - RAM:inst1|memory[155][22] ; 0 ; 6 ; +; - RAM:inst1|memory[155][14] ; 0 ; 6 ; +; - RAM:inst1|memory[155][6] ; 0 ; 6 ; +; - RAM:inst1|memory[91][14] ; 0 ; 6 ; +; - RAM:inst1|memory[91][6] ; 0 ; 6 ; +; - RAM:inst1|memory[27][22] ; 0 ; 6 ; +; - RAM:inst1|memory[27][14] ; 0 ; 6 ; +; - RAM:inst1|memory[27][6] ; 0 ; 6 ; +; - RAM:inst1|memory[219][14] ; 0 ; 6 ; +; - RAM:inst1|memory[219][6] ; 0 ; 6 ; +; - RAM:inst1|memory[107][14] ; 0 ; 6 ; +; - RAM:inst1|memory[107][6] ; 0 ; 6 ; +; - RAM:inst1|memory[171][6] ; 0 ; 6 ; +; - RAM:inst1|memory[43][14] ; 0 ; 6 ; +; - RAM:inst1|memory[43][6] ; 0 ; 6 ; +; - RAM:inst1|memory[235][6] ; 1 ; 6 ; +; - RAM:inst1|memory[75][6] ; 0 ; 6 ; +; - RAM:inst1|memory[139][6] ; 0 ; 6 ; +; - RAM:inst1|memory[11][22] ; 0 ; 6 ; +; - RAM:inst1|memory[11][14] ; 0 ; 6 ; +; - RAM:inst1|memory[11][6] ; 0 ; 6 ; +; - RAM:inst1|memory[203][22] ; 0 ; 6 ; +; - RAM:inst1|memory[203][6] ; 0 ; 6 ; +; - RAM:inst1|memory[187][22] ; 0 ; 6 ; +; - RAM:inst1|memory[187][14] ; 1 ; 6 ; +; - RAM:inst1|memory[187][6] ; 0 ; 6 ; +; - RAM:inst1|memory[123][6] ; 0 ; 6 ; +; - RAM:inst1|memory[59][22] ; 0 ; 6 ; +; - RAM:inst1|memory[59][14] ; 1 ; 6 ; +; - RAM:inst1|memory[59][6] ; 0 ; 6 ; +; - RAM:inst1|memory[251][6] ; 0 ; 6 ; +; - RAM:inst1|memory[103][14] ; 0 ; 6 ; +; - RAM:inst1|memory[103][6] ; 0 ; 6 ; +; - RAM:inst1|memory[167][22] ; 0 ; 6 ; +; - RAM:inst1|memory[167][14] ; 0 ; 6 ; +; - RAM:inst1|memory[167][6] ; 0 ; 6 ; +; - RAM:inst1|memory[39][22] ; 0 ; 6 ; +; - RAM:inst1|memory[39][6] ; 0 ; 6 ; +; - RAM:inst1|memory[231][14] ; 0 ; 6 ; +; - RAM:inst1|memory[231][22] ; 0 ; 6 ; +; - RAM:inst1|memory[231][6] ; 0 ; 6 ; +; - RAM:inst1|memory[151][22] ; 0 ; 6 ; +; - RAM:inst1|memory[151][6] ; 0 ; 6 ; +; - RAM:inst1|memory[87][6] ; 0 ; 6 ; +; - RAM:inst1|memory[23][22] ; 0 ; 6 ; +; - RAM:inst1|memory[23][14] ; 0 ; 6 ; +; - RAM:inst1|memory[23][6] ; 0 ; 6 ; +; - RAM:inst1|memory[215][14] ; 0 ; 6 ; +; - RAM:inst1|memory[215][22] ; 1 ; 6 ; +; - RAM:inst1|memory[215][6] ; 0 ; 6 ; +; - RAM:inst1|memory[71][22] ; 0 ; 6 ; +; - RAM:inst1|memory[71][6] ; 0 ; 6 ; +; - RAM:inst1|memory[135][6] ; 0 ; 6 ; +; - RAM:inst1|memory[7][22] ; 0 ; 6 ; +; - RAM:inst1|memory[7][6] ; 0 ; 6 ; +; - RAM:inst1|memory[199][14] ; 0 ; 6 ; +; - RAM:inst1|memory[199][22] ; 0 ; 6 ; +; - RAM:inst1|memory[199][6] ; 0 ; 6 ; +; - RAM:inst1|memory[183][22] ; 0 ; 6 ; +; - RAM:inst1|memory[183][6] ; 0 ; 6 ; +; - RAM:inst1|memory[119][14] ; 0 ; 6 ; +; - RAM:inst1|memory[119][22] ; 0 ; 6 ; +; - RAM:inst1|memory[119][6] ; 0 ; 6 ; +; - RAM:inst1|memory[55][6] ; 0 ; 6 ; +; - RAM:inst1|memory[247][14] ; 0 ; 6 ; +; - RAM:inst1|memory[247][6] ; 0 ; 6 ; +; - RAM:inst1|memory[147][14] ; 0 ; 6 ; +; - RAM:inst1|memory[147][6] ; 0 ; 6 ; +; - RAM:inst1|memory[83][6] ; 0 ; 6 ; +; - RAM:inst1|memory[19][14] ; 0 ; 6 ; +; - RAM:inst1|memory[19][6] ; 0 ; 6 ; +; - RAM:inst1|memory[211][14] ; 0 ; 6 ; +; - RAM:inst1|memory[211][22] ; 1 ; 6 ; +; - RAM:inst1|memory[211][6] ; 0 ; 6 ; +; - RAM:inst1|memory[99][14] ; 0 ; 6 ; +; - RAM:inst1|memory[99][22] ; 0 ; 6 ; +; - RAM:inst1|memory[99][6] ; 0 ; 6 ; +; - RAM:inst1|memory[163][22] ; 0 ; 6 ; +; - RAM:inst1|memory[163][6] ; 0 ; 6 ; +; - RAM:inst1|memory[35][14] ; 0 ; 6 ; +; - RAM:inst1|memory[35][6] ; 0 ; 6 ; +; - RAM:inst1|memory[227][14] ; 0 ; 6 ; +; - RAM:inst1|memory[227][6] ; 0 ; 6 ; +; - RAM:inst1|memory[67][22] ; 0 ; 6 ; +; - RAM:inst1|memory[67][6] ; 0 ; 6 ; +; - RAM:inst1|memory[131][6] ; 0 ; 6 ; +; - RAM:inst1|memory[3][14] ; 0 ; 6 ; +; - RAM:inst1|memory[3][6] ; 0 ; 6 ; +; - RAM:inst1|memory[195][6] ; 0 ; 6 ; +; - RAM:inst1|memory[179][22] ; 0 ; 6 ; +; - RAM:inst1|memory[179][14] ; 0 ; 6 ; +; - RAM:inst1|memory[179][6] ; 0 ; 6 ; +; - RAM:inst1|memory[115][22] ; 0 ; 6 ; +; - RAM:inst1|memory[115][6] ; 0 ; 6 ; +; - RAM:inst1|memory[51][14] ; 0 ; 6 ; +; - RAM:inst1|memory[51][6] ; 0 ; 6 ; +; - RAM:inst1|memory[243][14] ; 0 ; 6 ; +; - RAM:inst1|memory[243][22] ; 1 ; 6 ; +; - RAM:inst1|memory[243][6] ; 0 ; 6 ; +; - RAM:inst1|memory[175][22] ; 0 ; 6 ; +; - RAM:inst1|memory[175][6] ; 0 ; 6 ; +; - RAM:inst1|memory[159][14] ; 0 ; 6 ; +; - RAM:inst1|memory[159][6] ; 0 ; 6 ; +; - RAM:inst1|memory[143][6] ; 0 ; 6 ; +; - RAM:inst1|memory[191][14] ; 0 ; 6 ; +; - RAM:inst1|memory[191][22] ; 0 ; 6 ; +; - RAM:inst1|memory[191][6] ; 0 ; 6 ; +; - RAM:inst1|memory[95][6] ; 0 ; 6 ; +; - RAM:inst1|memory[111][22] ; 0 ; 6 ; +; - RAM:inst1|memory[111][14] ; 0 ; 6 ; +; - RAM:inst1|memory[111][6] ; 0 ; 6 ; +; - RAM:inst1|memory[79][6] ; 0 ; 6 ; +; - RAM:inst1|memory[127][14] ; 0 ; 6 ; +; - RAM:inst1|memory[127][22] ; 0 ; 6 ; +; - RAM:inst1|memory[127][6] ; 0 ; 6 ; +; - RAM:inst1|memory[47][14] ; 0 ; 6 ; +; - RAM:inst1|memory[47][6] ; 0 ; 6 ; +; - RAM:inst1|memory[31][14] ; 0 ; 6 ; +; - RAM:inst1|memory[31][22] ; 0 ; 6 ; +; - RAM:inst1|memory[31][6] ; 0 ; 6 ; +; - RAM:inst1|memory[15][22] ; 0 ; 6 ; +; - RAM:inst1|memory[15][14] ; 0 ; 6 ; +; - RAM:inst1|memory[15][6] ; 0 ; 6 ; +; - RAM:inst1|memory[63][14] ; 0 ; 6 ; +; - RAM:inst1|memory[63][22] ; 0 ; 6 ; +; - RAM:inst1|memory[63][6] ; 0 ; 6 ; +; - RAM:inst1|memory[223][14] ; 0 ; 6 ; +; - RAM:inst1|memory[223][22] ; 0 ; 6 ; +; - RAM:inst1|memory[223][6] ; 0 ; 6 ; +; - RAM:inst1|memory[239][22] ; 0 ; 6 ; +; - RAM:inst1|memory[239][6] ; 0 ; 6 ; +; - RAM:inst1|memory[207][22] ; 0 ; 6 ; +; - RAM:inst1|memory[207][6] ; 0 ; 6 ; +; - RAM:inst1|memory[255][14] ; 0 ; 6 ; +; - RAM:inst1|memory[255][6] ; 0 ; 6 ; +; - RAM:inst1|memory~1073 ; 0 ; 6 ; +; - RAM:inst1|memory[219][22]~feeder ; 1 ; 6 ; +; - RAM:inst1|memory[156][6]~feeder ; 1 ; 6 ; +; - RAM:inst1|memory[19][22]~feeder ; 1 ; 6 ; +; - RAM:inst1|memory[146][22]~feeder ; 1 ; 6 ; +; - RAM:inst1|memory[147][22]~feeder ; 1 ; 6 ; +; - RAM:inst1|memory[85][22]~feeder ; 1 ; 6 ; +; - RAM:inst1|memory[93][22]~feeder ; 1 ; 6 ; +; - RAM:inst1|memory[235][22]~feeder ; 1 ; 6 ; +; - RAM:inst1|memory[83][22]~feeder ; 1 ; 6 ; +; - RAM:inst1|memory[90][14]~feeder ; 1 ; 6 ; +; - RAM:inst1|memory[82][6]~feeder ; 1 ; 6 ; +; - RAM:inst1|memory[87][22]~feeder ; 1 ; 6 ; +; - RAM:inst1|memory[95][22]~feeder ; 1 ; 6 ; +; - RAM:inst1|memory[82][14]~feeder ; 1 ; 6 ; +; - RAM:inst1|memory[146][6]~feeder ; 1 ; 6 ; +; - RAM:inst1|memory[210][6]~feeder ; 1 ; 6 ; +; - RAM:inst1|memory[26][6]~feeder ; 1 ; 6 ; +; - RAM:inst1|memory[251][14]~feeder ; 1 ; 6 ; +; - RAM:inst1|memory[106][22]~feeder ; 1 ; 6 ; +; - RAM:inst1|memory[154][14]~feeder ; 1 ; 6 ; +; - RAM:inst1|memory[146][14]~feeder ; 1 ; 6 ; +; - RAM:inst1|memory[110][22]~feeder ; 1 ; 6 ; +; - RAM:inst1|memory[98][14]~feeder ; 1 ; 6 ; +; - RAM:inst1|memory[92][22]~feeder ; 1 ; 6 ; +; - RAM:inst1|memory[84][22]~feeder ; 1 ; 6 ; +; - RAM:inst1|memory[98][6]~feeder ; 1 ; 6 ; +; - RAM:inst1|memory[88][22]~feeder ; 1 ; 6 ; +; - RAM:inst1|memory[80][22]~feeder ; 1 ; 6 ; +; - RAM:inst1|memory[42][6]~feeder ; 1 ; 6 ; +; - RAM:inst1|memory[152][6]~feeder ; 1 ; 6 ; +; - RAM:inst1|memory[217][14]~feeder ; 1 ; 6 ; +; - RAM:inst1|memory[184][22]~feeder ; 1 ; 6 ; +; - RAM:inst1|memory[106][6]~feeder ; 1 ; 6 ; +; - RAM:inst1|memory[73][22]~feeder ; 1 ; 6 ; +; - RAM:inst1|memory[152][22]~feeder ; 1 ; 6 ; +; - RAM:inst1|memory[153][22]~feeder ; 1 ; 6 ; +; - RAM:inst1|memory[184][6]~feeder ; 1 ; 6 ; +; - RAM:inst1|memory[90][6]~feeder ; 1 ; 6 ; +; - RAM:inst1|memory[90][22]~feeder ; 1 ; 6 ; +; - RAM:inst1|memory[218][6]~feeder ; 1 ; 6 ; +; - RAM:inst1|memory[106][14]~feeder ; 1 ; 6 ; +; - RAM:inst1|memory[74][14]~feeder ; 1 ; 6 ; +; - RAM:inst1|memory[122][14]~feeder ; 1 ; 6 ; +; - RAM:inst1|memory[233][14]~feeder ; 0 ; 6 ; +; - RAM:inst1|memory[235][14]~feeder ; 0 ; 6 ; +; - RAM:inst1|memory[93][6]~feeder ; 0 ; 6 ; +; - RAM:inst1|memory[185][22]~feeder ; 0 ; 6 ; +; - RAM:inst1|memory[57][22]~feeder ; 0 ; 6 ; +; - RAM:inst1|memory[121][22]~feeder ; 0 ; 6 ; +; - RAM:inst1|memory[185][6]~feeder ; 0 ; 6 ; +; - RAM:inst1|memory[89][14]~feeder ; 0 ; 6 ; +; - RAM:inst1|memory[89][6]~feeder ; 0 ; 6 ; +; - RAM:inst1|memory[43][22]~feeder ; 0 ; 6 ; +; - RAM:inst1|memory[171][22]~feeder ; 0 ; 6 ; +; - RAM:inst1|memory[162][22]~feeder ; 0 ; 6 ; +; - RAM:inst1|memory[123][14]~feeder ; 0 ; 6 ; +; - RAM:inst1|memory[115][14]~feeder ; 0 ; 6 ; +; - RAM:inst1|memory[176][22]~feeder ; 0 ; 6 ; +; - RAM:inst1|memory[247][22]~feeder ; 0 ; 6 ; +; - RAM:inst1|memory[139][22]~feeder ; 0 ; 6 ; +; - RAM:inst1|memory[255][22]~feeder ; 0 ; 6 ; +; - RAM:inst1|memory[233][22]~feeder ; 0 ; 6 ; +; - RAM:inst1|memory[107][22]~feeder ; 0 ; 6 ; +; - RAM:inst1|memory[123][22]~feeder ; 0 ; 6 ; +; - RAM:inst1|memory[75][22]~feeder ; 0 ; 6 ; +; - RAM:inst1|memory[91][22]~feeder ; 0 ; 6 ; +; - RAM:inst1|memory[251][22]~feeder ; 0 ; 6 ; +; - RAM:inst1|memory[72][14]~feeder ; 0 ; 6 ; +; - RAM:inst1|memory[156][22]~feeder ; 0 ; 6 ; +; - RAM:inst1|memory[92][6]~feeder ; 0 ; 6 ; +; - RAM:inst1|memory[84][6]~feeder ; 0 ; 6 ; +; - RAM:inst1|memory[46][14]~feeder ; 0 ; 6 ; +; - RAM:inst1|memory[238][6]~feeder ; 0 ; 6 ; +; - RAM:inst1|memory[110][14]~feeder ; 0 ; 6 ; +; - RAM:inst1|memory[159][22]~feeder ; 0 ; 6 ; +; - RAM:inst1|memory[222][6]~feeder ; 0 ; 6 ; +; - RAM:inst1|memory[214][6]~feeder ; 0 ; 6 ; +; - RAM:inst1|memory[158][14]~feeder ; 0 ; 6 ; +; - RAM:inst1|memory[94][6]~feeder ; 0 ; 6 ; +; - RAM:inst1|memory[72][22]~feeder ; 0 ; 6 ; +; - RAM:inst1|memory[76][22]~feeder ; 0 ; 6 ; +; - RAM:inst1|memory[47][22]~feeder ; 0 ; 6 ; +; - RAM:inst1|memory[64][22]~feeder ; 0 ; 6 ; +; - RAM:inst1|memory[68][22]~feeder ; 0 ; 6 ; +; - RAM:inst1|memory[22][14]~feeder ; 0 ; 6 ; +; - RAM:inst1|memory[149][22]~feeder ; 0 ; 6 ; +; - RAM:inst1|memory[69][22]~feeder ; 0 ; 6 ; +; - RAM:inst1|memory[96][6]~feeder ; 0 ; 6 ; +; - RAM:inst1|memory[112][6]~feeder ; 0 ; 6 ; +; - RAM:inst1|memory[34][14]~feeder ; 0 ; 6 ; +; - RAM:inst1|memory[76][6]~feeder ; 0 ; 6 ; +; - RAM:inst1|memory[124][14]~feeder ; 0 ; 6 ; +; - RAM:inst1|memory[79][22]~feeder ; 0 ; 6 ; +; - RAM:inst1|memory[83][14]~feeder ; 0 ; 6 ; +; - RAM:inst1|memory[95][14]~feeder ; 0 ; 6 ; +; - RAM:inst1|memory[97][22]~feeder ; 0 ; 6 ; +; - RAM:inst1|memory[149][6]~feeder ; 0 ; 6 ; +; - RAM:inst1|memory[173][14]~feeder ; 0 ; 6 ; +; - RAM:inst1|memory[69][6]~feeder ; 0 ; 6 ; +; - RAM:inst1|memory[97][14]~feeder ; 0 ; 6 ; +; - RAM:inst1|memory[109][14]~feeder ; 0 ; 6 ; +; - RAM:inst1|memory[77][14]~feeder ; 0 ; 6 ; +; - RAM:inst1|memory[161][22]~feeder ; 0 ; 6 ; +; - RAM:inst1|memory[75][14]~feeder ; 0 ; 6 ; +; - RAM:inst1|memory[79][14]~feeder ; 0 ; 6 ; +; - RAM:inst1|memory[133][22]~feeder ; 0 ; 6 ; +; - RAM:inst1|memory[141][22]~feeder ; 0 ; 6 ; +; - RAM:inst1|memory[189][14]~feeder ; 0 ; 6 ; +; - RAM:inst1|memory[109][22]~feeder ; 0 ; 6 ; +; - RAM:inst1|memory[117][6]~feeder ; 0 ; 6 ; +; - RAM:inst1|memory[225][22]~feeder ; 0 ; 6 ; +; - RAM:inst1|memory[227][22]~feeder ; 0 ; 6 ; +; - RAM:inst1|memory[85][6]~feeder ; 0 ; 6 ; +; - RAM:inst1|memory[37][22]~feeder ; 0 ; 6 ; +; - RAM:inst1|memory[237][14]~feeder ; 0 ; 6 ; +; - RAM:inst1|memory[239][14]~feeder ; 0 ; 6 ; +; - RAM:inst1|memory[225][14]~feeder ; 0 ; 6 ; +; - RAM:inst1|memory[71][14]~feeder ; 0 ; 6 ; +; - RAM:inst1|memory[67][14]~feeder ; 0 ; 6 ; +; - RAM:inst1|memory[30][6]~feeder ; 0 ; 6 ; +; - RAM:inst1|memory[22][6]~feeder ; 0 ; 6 ; +; - RAM:inst1|memory[190][22]~feeder ; 0 ; 6 ; +; - RAM:inst1|memory[110][6]~feeder ; 0 ; 6 ; +; - RAM:inst1|memory[46][6]~feeder ; 0 ; 6 ; +; - RAM:inst1|memory[166][14]~feeder ; 0 ; 6 ; +; - RAM:inst1|memory[135][22]~feeder ; 0 ; 6 ; +; - RAM:inst1|memory[143][22]~feeder ; 0 ; 6 ; +; - RAM:inst1|memory[162][14]~feeder ; 0 ; 6 ; +; - RAM:inst1|memory[246][22]~feeder ; 0 ; 6 ; +; - RAM:inst1|memory[148][22]~feeder ; 0 ; 6 ; +; - RAM:inst1|memory[180][22]~feeder ; 0 ; 6 ; +; - RAM:inst1|memory[38][22]~feeder ; 0 ; 6 ; +; - RAM:inst1|memory[38][6]~feeder ; 0 ; 6 ; +; - RAM:inst1|memory[102][14]~feeder ; 0 ; 6 ; +; - RAM:inst1|memory[158][6]~feeder ; 0 ; 6 ; +; - RAM:inst1|memory[118][22]~feeder ; 0 ; 6 ; +; - RAM:inst1|memory[150][22]~feeder ; 0 ; 6 ; +; - RAM:inst1|memory[3][22]~feeder ; 0 ; 6 ; +; - RAM:inst1|memory[35][22]~feeder ; 0 ; 6 ; +; - RAM:inst1|memory[33][22]~feeder ; 0 ; 6 ; +; - RAM:inst1|memory[87][14]~feeder ; 0 ; 6 ; +; - RAM:inst1|memory[163][14]~feeder ; 0 ; 6 ; +; - RAM:inst1|memory[39][14]~feeder ; 0 ; 6 ; +; - RAM:inst1|memory[37][14]~feeder ; 0 ; 6 ; +; - RAM:inst1|memory[165][14]~feeder ; 0 ; 6 ; +; - RAM:inst1|memory[160][14]~feeder ; 0 ; 6 ; +; - RAM:inst1|memory[161][14]~feeder ; 0 ; 6 ; +; - RAM:inst1|memory[55][14]~feeder ; 0 ; 6 ; +; - RAM:inst1|memory[51][22]~feeder ; 0 ; 6 ; +; - RAM:inst1|memory[172][14]~feeder ; 0 ; 6 ; +; - RAM:inst1|memory[188][14]~feeder ; 0 ; 6 ; +; - RAM:inst1|memory[183][14]~feeder ; 0 ; 6 ; +; - RAM:inst1|memory[103][22]~feeder ; 0 ; 6 ; +; - RAM:inst1|memory[171][14]~feeder ; 0 ; 6 ; +; - RAM:inst1|memory[175][14]~feeder ; 0 ; 6 ; +; - RAM:inst1|memory[156][14]~feeder ; 0 ; 6 ; +; - RAM:inst1|memory[140][14]~feeder ; 0 ; 6 ; +; - RAM:inst1|memory[151][14]~feeder ; 0 ; 6 ; +; - RAM:inst1|memory[149][14]~feeder ; 0 ; 6 ; +; - RAM:inst1|memory[7][14]~feeder ; 0 ; 6 ; +; - RAM:inst1|memory[195][14]~feeder ; 0 ; 6 ; +; - RAM:inst1|memory[213][14]~feeder ; 0 ; 6 ; +; - RAM:inst1|memory[164][22]~feeder ; 0 ; 6 ; +; - RAM:inst1|memory[131][14]~feeder ; 0 ; 6 ; +; - RAM:inst1|memory[55][22]~feeder ; 0 ; 6 ; +; - RAM:inst1|memory[143][14]~feeder ; 0 ; 6 ; +; - RAM:inst1|memory[139][14]~feeder ; 0 ; 6 ; +; - RAM:inst1|memory[100][22]~feeder ; 0 ; 6 ; +; - RAM:inst1|memory[116][22]~feeder ; 0 ; 6 ; +; - RAM:inst1|memory[195][22]~feeder ; 0 ; 6 ; +; - RAM:inst1|memory[130][22]~feeder ; 0 ; 6 ; +; - RAM:inst1|memory[131][22]~feeder ; 0 ; 6 ; +; - RAM:inst1|memory[100][6]~feeder ; 0 ; 6 ; +; - RAM:inst1|memory[207][14]~feeder ; 0 ; 6 ; +; - RAM:inst1|memory[203][14]~feeder ; 0 ; 6 ; +; - RAM:inst1|memory[116][14]~feeder ; 0 ; 6 ; +; - RAM:inst1|memory[135][14]~feeder ; 0 ; 6 ; +; - RAM:inst1|memory[117][14]~feeder ; 0 ; 6 ; +; - RAM:inst1|memory[132][22]~feeder ; 0 ; 6 ; +; - RAM:inst1|memory[132][6]~feeder ; 0 ; 6 ; +; - RAM:inst1|memory[180][6]~feeder ; 0 ; 6 ; +; - RAM:inst1|memory[230][14]~feeder ; 0 ; 6 ; +; - RAM:inst1|memory[228][14]~feeder ; 0 ; 6 ; +; Data[5] ; ; ; +; - RAM:inst1|memory[169][13] ; 0 ; 6 ; +; - RAM:inst1|memory[41][13] ; 0 ; 6 ; +; - RAM:inst1|memory[106][13] ; 0 ; 6 ; +; - RAM:inst1|memory[170][13] ; 0 ; 6 ; +; - RAM:inst1|memory[42][13] ; 0 ; 6 ; +; - RAM:inst1|memory[234][13] ; 0 ; 6 ; +; - RAM:inst1|memory[104][13] ; 0 ; 6 ; +; - RAM:inst1|memory[168][13] ; 0 ; 6 ; +; - RAM:inst1|memory[40][13] ; 0 ; 6 ; +; - RAM:inst1|memory[232][13] ; 0 ; 6 ; +; - RAM:inst1|memory[171][13] ; 0 ; 6 ; +; - RAM:inst1|memory[43][13] ; 0 ; 6 ; +; - RAM:inst1|memory[170][21] ; 0 ; 6 ; +; - RAM:inst1|memory[42][21] ; 0 ; 6 ; +; - RAM:inst1|memory[234][21] ; 0 ; 6 ; +; - RAM:inst1|memory[169][21] ; 0 ; 6 ; +; - RAM:inst1|memory[41][21] ; 0 ; 6 ; +; - RAM:inst1|memory[233][21] ; 0 ; 6 ; +; - RAM:inst1|memory[104][21] ; 0 ; 6 ; +; - RAM:inst1|memory[168][21] ; 0 ; 6 ; +; - RAM:inst1|memory[40][21] ; 0 ; 6 ; +; - RAM:inst1|memory[232][21] ; 0 ; 6 ; +; - RAM:inst1|memory[43][21] ; 0 ; 6 ; +; - RAM:inst1|memory[235][21] ; 0 ; 6 ; +; - RAM:inst1|memory[106][5] ; 0 ; 6 ; +; - RAM:inst1|memory[42][5] ; 0 ; 6 ; +; - RAM:inst1|memory[234][5] ; 0 ; 6 ; +; - RAM:inst1|memory[169][5] ; 0 ; 6 ; +; - RAM:inst1|memory[105][5] ; 0 ; 6 ; +; - RAM:inst1|memory[41][5] ; 0 ; 6 ; +; - RAM:inst1|memory[233][5] ; 0 ; 6 ; +; - RAM:inst1|memory[104][5] ; 0 ; 6 ; +; - RAM:inst1|memory[40][5] ; 0 ; 6 ; +; - RAM:inst1|memory[232][5] ; 0 ; 6 ; +; - RAM:inst1|memory[171][5] ; 0 ; 6 ; +; - RAM:inst1|memory[107][5] ; 0 ; 6 ; +; - RAM:inst1|memory[43][5] ; 0 ; 6 ; +; - RAM:inst1|memory[235][5] ; 0 ; 6 ; +; - RAM:inst1|memory[169][29] ; 0 ; 6 ; +; - RAM:inst1|memory[41][29] ; 0 ; 6 ; +; - RAM:inst1|memory[170][29] ; 0 ; 6 ; +; - RAM:inst1|memory[42][29] ; 0 ; 6 ; +; - RAM:inst1|memory[234][29] ; 0 ; 6 ; +; - RAM:inst1|memory[104][29] ; 0 ; 6 ; +; - RAM:inst1|memory[168][29] ; 0 ; 6 ; +; - RAM:inst1|memory[40][29] ; 0 ; 6 ; +; - RAM:inst1|memory[232][29] ; 0 ; 6 ; +; - RAM:inst1|memory[43][29] ; 0 ; 6 ; +; - RAM:inst1|memory[235][29] ; 0 ; 6 ; +; - RAM:inst1|memory[89][13] ; 0 ; 6 ; +; - RAM:inst1|memory[89][5] ; 0 ; 6 ; +; - RAM:inst1|memory[89][29] ; 0 ; 6 ; +; - RAM:inst1|memory[90][21] ; 0 ; 6 ; +; - RAM:inst1|memory[90][13] ; 0 ; 6 ; +; - RAM:inst1|memory[90][5] ; 0 ; 6 ; +; - RAM:inst1|memory[90][29] ; 0 ; 6 ; +; - RAM:inst1|memory[88][21] ; 0 ; 6 ; +; - RAM:inst1|memory[88][13] ; 0 ; 6 ; +; - RAM:inst1|memory[88][5] ; 0 ; 6 ; +; - RAM:inst1|memory[88][29] ; 0 ; 6 ; +; - RAM:inst1|memory[91][21] ; 0 ; 6 ; +; - RAM:inst1|memory[91][5] ; 0 ; 6 ; +; - RAM:inst1|memory[91][29] ; 0 ; 6 ; +; - RAM:inst1|memory[154][21] ; 0 ; 6 ; +; - RAM:inst1|memory[154][5] ; 0 ; 6 ; +; - RAM:inst1|memory[154][29] ; 0 ; 6 ; +; - RAM:inst1|memory[153][5] ; 0 ; 6 ; +; - RAM:inst1|memory[153][29] ; 0 ; 6 ; +; - RAM:inst1|memory[152][13] ; 0 ; 6 ; +; - RAM:inst1|memory[152][5] ; 0 ; 6 ; +; - RAM:inst1|memory[152][29] ; 0 ; 6 ; +; - RAM:inst1|memory[155][13] ; 0 ; 6 ; +; - RAM:inst1|memory[155][21] ; 0 ; 6 ; +; - RAM:inst1|memory[155][5] ; 0 ; 6 ; +; - RAM:inst1|memory[155][29] ; 0 ; 6 ; +; - RAM:inst1|memory[26][13] ; 0 ; 6 ; +; - RAM:inst1|memory[26][5] ; 0 ; 6 ; +; - RAM:inst1|memory[26][29] ; 0 ; 6 ; +; - RAM:inst1|memory[25][13] ; 0 ; 6 ; +; - RAM:inst1|memory[25][21] ; 0 ; 6 ; +; - RAM:inst1|memory[25][5] ; 0 ; 6 ; +; - RAM:inst1|memory[25][29] ; 0 ; 6 ; +; - RAM:inst1|memory[24][5] ; 0 ; 6 ; +; - RAM:inst1|memory[24][29] ; 0 ; 6 ; +; - RAM:inst1|memory[27][13] ; 0 ; 6 ; +; - RAM:inst1|memory[27][5] ; 0 ; 6 ; +; - RAM:inst1|memory[27][29] ; 0 ; 6 ; +; - RAM:inst1|memory[217][13] ; 0 ; 6 ; +; - RAM:inst1|memory[217][21] ; 0 ; 6 ; +; - RAM:inst1|memory[217][5] ; 0 ; 6 ; +; - RAM:inst1|memory[217][29] ; 0 ; 6 ; +; - RAM:inst1|memory[218][21] ; 0 ; 6 ; +; - RAM:inst1|memory[218][13] ; 0 ; 6 ; +; - RAM:inst1|memory[218][5] ; 0 ; 6 ; +; - RAM:inst1|memory[218][29] ; 0 ; 6 ; +; - RAM:inst1|memory[216][13] ; 0 ; 6 ; +; - RAM:inst1|memory[216][5] ; 0 ; 6 ; +; - RAM:inst1|memory[216][29] ; 0 ; 6 ; +; - RAM:inst1|memory[219][5] ; 0 ; 6 ; +; - RAM:inst1|memory[219][29] ; 0 ; 6 ; +; - RAM:inst1|memory[138][21] ; 0 ; 6 ; +; - RAM:inst1|memory[138][13] ; 0 ; 6 ; +; - RAM:inst1|memory[138][5] ; 0 ; 6 ; +; - RAM:inst1|memory[138][29] ; 0 ; 6 ; +; - RAM:inst1|memory[137][5] ; 0 ; 6 ; +; - RAM:inst1|memory[137][29] ; 0 ; 6 ; +; - RAM:inst1|memory[136][13] ; 0 ; 6 ; +; - RAM:inst1|memory[136][5] ; 0 ; 6 ; +; - RAM:inst1|memory[136][29] ; 0 ; 6 ; +; - RAM:inst1|memory[139][21] ; 0 ; 6 ; +; - RAM:inst1|memory[139][5] ; 0 ; 6 ; +; - RAM:inst1|memory[139][29] ; 0 ; 6 ; +; - RAM:inst1|memory[73][21] ; 0 ; 6 ; +; - RAM:inst1|memory[73][5] ; 0 ; 6 ; +; - RAM:inst1|memory[73][29] ; 0 ; 6 ; +; - RAM:inst1|memory[74][21] ; 0 ; 6 ; +; - RAM:inst1|memory[74][13] ; 0 ; 6 ; +; - RAM:inst1|memory[74][5] ; 0 ; 6 ; +; - RAM:inst1|memory[74][29] ; 0 ; 6 ; +; - RAM:inst1|memory[72][21] ; 0 ; 6 ; +; - RAM:inst1|memory[72][13] ; 0 ; 6 ; +; - RAM:inst1|memory[72][5] ; 0 ; 6 ; +; - RAM:inst1|memory[72][29] ; 0 ; 6 ; +; - RAM:inst1|memory[75][13] ; 0 ; 6 ; +; - RAM:inst1|memory[75][21] ; 0 ; 6 ; +; - RAM:inst1|memory[75][5] ; 0 ; 6 ; +; - RAM:inst1|memory[75][29] ; 0 ; 6 ; +; - RAM:inst1|memory[10][13] ; 0 ; 6 ; +; - RAM:inst1|memory[10][5] ; 0 ; 6 ; +; - RAM:inst1|memory[10][29] ; 0 ; 6 ; +; - RAM:inst1|memory[9][5] ; 0 ; 6 ; +; - RAM:inst1|memory[9][29] ; 0 ; 6 ; +; - RAM:inst1|memory[8][13] ; 0 ; 6 ; +; - RAM:inst1|memory[8][5] ; 0 ; 6 ; +; - RAM:inst1|memory[8][29] ; 0 ; 6 ; +; - RAM:inst1|memory[11][21] ; 0 ; 6 ; +; - RAM:inst1|memory[11][5] ; 0 ; 6 ; +; - RAM:inst1|memory[11][29] ; 0 ; 6 ; +; - RAM:inst1|memory[201][21] ; 0 ; 6 ; +; - RAM:inst1|memory[201][5] ; 0 ; 6 ; +; - RAM:inst1|memory[201][29] ; 0 ; 6 ; +; - RAM:inst1|memory[202][13] ; 0 ; 6 ; +; - RAM:inst1|memory[202][5] ; 0 ; 6 ; +; - RAM:inst1|memory[202][29] ; 0 ; 6 ; +; - RAM:inst1|memory[200][13] ; 0 ; 6 ; +; - RAM:inst1|memory[200][5] ; 0 ; 6 ; +; - RAM:inst1|memory[200][29] ; 0 ; 6 ; +; - RAM:inst1|memory[203][21] ; 0 ; 6 ; +; - RAM:inst1|memory[203][5] ; 0 ; 6 ; +; - RAM:inst1|memory[203][29] ; 0 ; 6 ; +; - RAM:inst1|memory[186][21] ; 0 ; 6 ; +; - RAM:inst1|memory[186][5] ; 0 ; 6 ; +; - RAM:inst1|memory[186][29] ; 0 ; 6 ; +; - RAM:inst1|memory[185][5] ; 0 ; 6 ; +; - RAM:inst1|memory[185][29] ; 0 ; 6 ; +; - RAM:inst1|memory[184][21] ; 0 ; 6 ; +; - RAM:inst1|memory[184][13] ; 0 ; 6 ; +; - RAM:inst1|memory[184][5] ; 0 ; 6 ; +; - RAM:inst1|memory[184][29] ; 0 ; 6 ; +; - RAM:inst1|memory[187][21] ; 0 ; 6 ; +; - RAM:inst1|memory[187][5] ; 0 ; 6 ; +; - RAM:inst1|memory[187][29] ; 0 ; 6 ; +; - RAM:inst1|memory[121][5] ; 0 ; 6 ; +; - RAM:inst1|memory[121][29] ; 0 ; 6 ; +; - RAM:inst1|memory[122][21] ; 0 ; 6 ; +; - RAM:inst1|memory[122][13] ; 0 ; 6 ; +; - RAM:inst1|memory[122][5] ; 0 ; 6 ; +; - RAM:inst1|memory[122][29] ; 0 ; 6 ; +; - RAM:inst1|memory[120][21] ; 0 ; 6 ; +; - RAM:inst1|memory[120][13] ; 0 ; 6 ; +; - RAM:inst1|memory[120][5] ; 0 ; 6 ; +; - RAM:inst1|memory[120][29] ; 0 ; 6 ; +; - RAM:inst1|memory[123][13] ; 0 ; 6 ; +; - RAM:inst1|memory[123][5] ; 0 ; 6 ; +; - RAM:inst1|memory[123][29] ; 0 ; 6 ; +; - RAM:inst1|memory[58][13] ; 0 ; 6 ; +; - RAM:inst1|memory[58][5] ; 0 ; 6 ; +; - RAM:inst1|memory[58][29] ; 0 ; 6 ; +; - RAM:inst1|memory[57][5] ; 0 ; 6 ; +; - RAM:inst1|memory[57][29] ; 0 ; 6 ; +; - RAM:inst1|memory[56][21] ; 0 ; 6 ; +; - RAM:inst1|memory[56][13] ; 0 ; 6 ; +; - RAM:inst1|memory[56][5] ; 0 ; 6 ; +; - RAM:inst1|memory[56][29] ; 0 ; 6 ; +; - RAM:inst1|memory[59][13] ; 0 ; 6 ; +; - RAM:inst1|memory[59][21] ; 0 ; 6 ; +; - RAM:inst1|memory[59][5] ; 0 ; 6 ; +; - RAM:inst1|memory[59][29] ; 0 ; 6 ; +; - RAM:inst1|memory[249][21] ; 0 ; 6 ; +; - RAM:inst1|memory[249][5] ; 0 ; 6 ; +; - RAM:inst1|memory[249][29] ; 0 ; 6 ; +; - RAM:inst1|memory[250][21] ; 0 ; 6 ; +; - RAM:inst1|memory[250][13] ; 0 ; 6 ; +; - RAM:inst1|memory[250][5] ; 0 ; 6 ; +; - RAM:inst1|memory[250][29] ; 0 ; 6 ; +; - RAM:inst1|memory[248][21] ; 0 ; 6 ; +; - RAM:inst1|memory[248][13] ; 0 ; 6 ; +; - RAM:inst1|memory[248][5] ; 0 ; 6 ; +; - RAM:inst1|memory[248][29] ; 0 ; 6 ; +; - RAM:inst1|memory[251][13] ; 0 ; 6 ; +; - RAM:inst1|memory[251][21] ; 0 ; 6 ; +; - RAM:inst1|memory[251][5] ; 0 ; 6 ; +; - RAM:inst1|memory[251][29] ; 0 ; 6 ; +; - RAM:inst1|memory[165][13] ; 0 ; 6 ; +; - RAM:inst1|memory[149][13] ; 0 ; 6 ; +; - RAM:inst1|memory[133][13] ; 0 ; 6 ; +; - RAM:inst1|memory[181][13] ; 0 ; 6 ; +; - RAM:inst1|memory[134][13] ; 0 ; 6 ; +; - RAM:inst1|memory[182][13] ; 0 ; 6 ; +; - RAM:inst1|memory[132][13] ; 0 ; 6 ; +; - RAM:inst1|memory[180][13] ; 0 ; 6 ; +; - RAM:inst1|memory[135][13] ; 0 ; 6 ; +; - RAM:inst1|memory[183][13] ; 0 ; 6 ; +; - RAM:inst1|memory[101][13] ; 0 ; 6 ; +; - RAM:inst1|memory[100][13] ; 0 ; 6 ; +; - RAM:inst1|memory[103][13] ; 0 ; 6 ; +; - RAM:inst1|memory[86][13] ; 0 ; 6 ; +; - RAM:inst1|memory[85][13] ; 0 ; 6 ; +; - RAM:inst1|memory[84][13] ; 0 ; 6 ; +; - RAM:inst1|memory[87][13] ; 0 ; 6 ; +; - RAM:inst1|memory[70][13] ; 0 ; 6 ; +; - RAM:inst1|memory[68][13] ; 0 ; 6 ; +; - RAM:inst1|memory[71][13] ; 0 ; 6 ; +; - RAM:inst1|memory[117][13] ; 0 ; 6 ; +; - RAM:inst1|memory[116][13] ; 0 ; 6 ; +; - RAM:inst1|memory[119][13] ; 0 ; 6 ; +; - RAM:inst1|memory[38][13] ; 0 ; 6 ; +; - RAM:inst1|memory[22][13] ; 0 ; 6 ; +; - RAM:inst1|memory[6][13] ; 0 ; 6 ; +; - RAM:inst1|memory[54][13] ; 0 ; 6 ; +; - RAM:inst1|memory[21][13] ; 0 ; 6 ; +; - RAM:inst1|memory[37][13] ; 0 ; 6 ; +; - RAM:inst1|memory[5][13] ; 0 ; 6 ; +; - RAM:inst1|memory[53][13] ; 0 ; 6 ; +; - RAM:inst1|memory[20][13] ; 0 ; 6 ; +; - RAM:inst1|memory[4][13] ; 0 ; 6 ; +; - RAM:inst1|memory[52][13] ; 0 ; 6 ; +; - RAM:inst1|memory[23][13] ; 0 ; 6 ; +; - RAM:inst1|memory[39][13] ; 0 ; 6 ; +; - RAM:inst1|memory[7][13] ; 0 ; 6 ; +; - RAM:inst1|memory[55][13] ; 0 ; 6 ; +; - RAM:inst1|memory[214][13] ; 0 ; 6 ; +; - RAM:inst1|memory[212][13] ; 0 ; 6 ; +; - RAM:inst1|memory[215][13] ; 0 ; 6 ; +; - RAM:inst1|memory[230][13] ; 0 ; 6 ; +; - RAM:inst1|memory[229][13] ; 0 ; 6 ; +; - RAM:inst1|memory[228][13] ; 0 ; 6 ; +; - RAM:inst1|memory[231][13] ; 0 ; 6 ; +; - RAM:inst1|memory[198][13] ; 0 ; 6 ; +; - RAM:inst1|memory[196][13] ; 0 ; 6 ; +; - RAM:inst1|memory[199][13] ; 0 ; 6 ; +; - RAM:inst1|memory[244][13] ; 0 ; 6 ; +; - RAM:inst1|memory[247][13] ; 0 ; 6 ; +; - RAM:inst1|memory[102][21] ; 0 ; 6 ; +; - RAM:inst1|memory[100][21] ; 0 ; 6 ; +; - RAM:inst1|memory[103][21] ; 0 ; 6 ; +; - RAM:inst1|memory[84][21] ; 0 ; 6 ; +; - RAM:inst1|memory[87][21] ; 0 ; 6 ; +; - RAM:inst1|memory[68][21] ; 0 ; 6 ; +; - RAM:inst1|memory[71][21] ; 0 ; 6 ; +; - RAM:inst1|memory[116][21] ; 0 ; 6 ; +; - RAM:inst1|memory[119][21] ; 0 ; 6 ; +; - RAM:inst1|memory[133][21] ; 0 ; 6 ; +; - RAM:inst1|memory[181][21] ; 0 ; 6 ; +; - RAM:inst1|memory[150][21] ; 0 ; 6 ; +; - RAM:inst1|memory[166][21] ; 0 ; 6 ; +; - RAM:inst1|memory[134][21] ; 0 ; 6 ; +; - RAM:inst1|memory[182][21] ; 0 ; 6 ; +; - RAM:inst1|memory[148][21] ; 0 ; 6 ; +; - RAM:inst1|memory[164][21] ; 0 ; 6 ; +; - RAM:inst1|memory[132][21] ; 0 ; 6 ; +; - RAM:inst1|memory[180][21] ; 0 ; 6 ; +; - RAM:inst1|memory[167][21] ; 0 ; 6 ; +; - RAM:inst1|memory[135][21] ; 0 ; 6 ; +; - RAM:inst1|memory[183][21] ; 0 ; 6 ; +; - RAM:inst1|memory[22][21] ; 0 ; 6 ; +; - RAM:inst1|memory[6][21] ; 0 ; 6 ; +; - RAM:inst1|memory[54][21] ; 0 ; 6 ; +; - RAM:inst1|memory[21][21] ; 0 ; 6 ; +; - RAM:inst1|memory[5][21] ; 0 ; 6 ; +; - RAM:inst1|memory[53][21] ; 0 ; 6 ; +; - RAM:inst1|memory[36][21] ; 0 ; 6 ; +; - RAM:inst1|memory[20][21] ; 0 ; 6 ; +; - RAM:inst1|memory[4][21] ; 0 ; 6 ; +; - RAM:inst1|memory[52][21] ; 0 ; 6 ; +; - RAM:inst1|memory[23][21] ; 0 ; 6 ; +; - RAM:inst1|memory[39][21] ; 0 ; 6 ; +; - RAM:inst1|memory[7][21] ; 0 ; 6 ; +; - RAM:inst1|memory[55][21] ; 0 ; 6 ; +; - RAM:inst1|memory[212][21] ; 0 ; 6 ; +; - RAM:inst1|memory[215][21] ; 0 ; 6 ; +; - RAM:inst1|memory[230][21] ; 0 ; 6 ; +; - RAM:inst1|memory[229][21] ; 0 ; 6 ; +; - RAM:inst1|memory[228][21] ; 0 ; 6 ; +; - RAM:inst1|memory[231][21] ; 0 ; 6 ; +; - RAM:inst1|memory[196][21] ; 0 ; 6 ; +; - RAM:inst1|memory[199][21] ; 0 ; 6 ; +; - RAM:inst1|memory[246][21] ; 0 ; 6 ; +; - RAM:inst1|memory[244][21] ; 0 ; 6 ; +; - RAM:inst1|memory[247][21] ; 0 ; 6 ; +; - RAM:inst1|memory[101][5] ; 0 ; 6 ; +; - RAM:inst1|memory[100][5] ; 0 ; 6 ; +; - RAM:inst1|memory[103][5] ; 0 ; 6 ; +; - RAM:inst1|memory[86][5] ; 0 ; 6 ; +; - RAM:inst1|memory[84][5] ; 0 ; 6 ; +; - RAM:inst1|memory[87][5] ; 0 ; 6 ; +; - RAM:inst1|memory[69][5] ; 0 ; 6 ; +; - RAM:inst1|memory[70][5] ; 0 ; 6 ; +; - RAM:inst1|memory[68][5] ; 0 ; 6 ; +; - RAM:inst1|memory[71][5] ; 0 ; 6 ; +; - RAM:inst1|memory[118][5] ; 0 ; 6 ; +; - RAM:inst1|memory[117][5] ; 0 ; 6 ; +; - RAM:inst1|memory[116][5] ; 0 ; 6 ; +; - RAM:inst1|memory[119][5] ; 0 ; 6 ; +; - RAM:inst1|memory[165][5] ; 0 ; 6 ; +; - RAM:inst1|memory[133][5] ; 0 ; 6 ; +; - RAM:inst1|memory[181][5] ; 0 ; 6 ; +; - RAM:inst1|memory[150][5] ; 0 ; 6 ; +; - RAM:inst1|memory[166][5] ; 0 ; 6 ; +; - RAM:inst1|memory[134][5] ; 0 ; 6 ; +; - RAM:inst1|memory[182][5] ; 0 ; 6 ; +; - RAM:inst1|memory[164][5] ; 0 ; 6 ; +; - RAM:inst1|memory[132][5] ; 0 ; 6 ; +; - RAM:inst1|memory[180][5] ; 0 ; 6 ; +; - RAM:inst1|memory[135][5] ; 0 ; 6 ; +; - RAM:inst1|memory[183][5] ; 0 ; 6 ; +; - RAM:inst1|memory[6][5] ; 0 ; 6 ; +; - RAM:inst1|memory[54][5] ; 0 ; 6 ; +; - RAM:inst1|memory[21][5] ; 0 ; 6 ; +; - RAM:inst1|memory[37][5] ; 0 ; 6 ; +; - RAM:inst1|memory[5][5] ; 0 ; 6 ; +; - RAM:inst1|memory[53][5] ; 0 ; 6 ; +; - RAM:inst1|memory[36][5] ; 0 ; 6 ; +; - RAM:inst1|memory[20][5] ; 0 ; 6 ; +; - RAM:inst1|memory[4][5] ; 0 ; 6 ; +; - RAM:inst1|memory[52][5] ; 0 ; 6 ; +; - RAM:inst1|memory[23][5] ; 0 ; 6 ; +; - RAM:inst1|memory[39][5] ; 0 ; 6 ; +; - RAM:inst1|memory[7][5] ; 0 ; 6 ; +; - RAM:inst1|memory[55][5] ; 0 ; 6 ; +; - RAM:inst1|memory[213][5] ; 0 ; 6 ; +; - RAM:inst1|memory[212][5] ; 0 ; 6 ; +; - RAM:inst1|memory[215][5] ; 0 ; 6 ; +; - RAM:inst1|memory[229][5] ; 0 ; 6 ; +; - RAM:inst1|memory[228][5] ; 0 ; 6 ; +; - RAM:inst1|memory[231][5] ; 0 ; 6 ; +; - RAM:inst1|memory[197][5] ; 0 ; 6 ; +; - RAM:inst1|memory[196][5] ; 0 ; 6 ; +; - RAM:inst1|memory[199][5] ; 0 ; 6 ; +; - RAM:inst1|memory[245][5] ; 0 ; 6 ; +; - RAM:inst1|memory[246][5] ; 0 ; 6 ; +; - RAM:inst1|memory[244][5] ; 0 ; 6 ; +; - RAM:inst1|memory[247][5] ; 0 ; 6 ; +; - RAM:inst1|memory[102][29] ; 0 ; 6 ; +; - RAM:inst1|memory[166][29] ; 0 ; 6 ; +; - RAM:inst1|memory[38][29] ; 0 ; 6 ; +; - RAM:inst1|memory[230][29] ; 0 ; 6 ; +; - RAM:inst1|memory[101][29] ; 0 ; 6 ; +; - RAM:inst1|memory[37][29] ; 0 ; 6 ; +; - RAM:inst1|memory[229][29] ; 0 ; 6 ; +; - RAM:inst1|memory[36][29] ; 0 ; 6 ; +; - RAM:inst1|memory[228][29] ; 0 ; 6 ; +; - RAM:inst1|memory[103][29] ; 0 ; 6 ; +; - RAM:inst1|memory[39][29] ; 0 ; 6 ; +; - RAM:inst1|memory[231][29] ; 0 ; 6 ; +; - RAM:inst1|memory[85][29] ; 0 ; 6 ; +; - RAM:inst1|memory[21][29] ; 0 ; 6 ; +; - RAM:inst1|memory[213][29] ; 0 ; 6 ; +; - RAM:inst1|memory[22][29] ; 0 ; 6 ; +; - RAM:inst1|memory[214][29] ; 0 ; 6 ; +; - RAM:inst1|memory[84][29] ; 0 ; 6 ; +; - RAM:inst1|memory[20][29] ; 0 ; 6 ; +; - RAM:inst1|memory[212][29] ; 0 ; 6 ; +; - RAM:inst1|memory[23][29] ; 0 ; 6 ; +; - RAM:inst1|memory[215][29] ; 0 ; 6 ; +; - RAM:inst1|memory[70][29] ; 0 ; 6 ; +; - RAM:inst1|memory[134][29] ; 0 ; 6 ; +; - RAM:inst1|memory[6][29] ; 0 ; 6 ; +; - RAM:inst1|memory[198][29] ; 0 ; 6 ; +; - RAM:inst1|memory[133][29] ; 0 ; 6 ; +; - RAM:inst1|memory[69][29] ; 0 ; 6 ; +; - RAM:inst1|memory[5][29] ; 0 ; 6 ; +; - RAM:inst1|memory[197][29] ; 0 ; 6 ; +; - RAM:inst1|memory[68][29] ; 0 ; 6 ; +; - RAM:inst1|memory[132][29] ; 0 ; 6 ; +; - RAM:inst1|memory[4][29] ; 0 ; 6 ; +; - RAM:inst1|memory[196][29] ; 0 ; 6 ; +; - RAM:inst1|memory[71][29] ; 0 ; 6 ; +; - RAM:inst1|memory[7][29] ; 0 ; 6 ; +; - RAM:inst1|memory[199][29] ; 0 ; 6 ; +; - RAM:inst1|memory[181][29] ; 0 ; 6 ; +; - RAM:inst1|memory[117][29] ; 0 ; 6 ; +; - RAM:inst1|memory[53][29] ; 0 ; 6 ; +; - RAM:inst1|memory[245][29] ; 0 ; 6 ; +; - RAM:inst1|memory[118][29] ; 0 ; 6 ; +; - RAM:inst1|memory[54][29] ; 0 ; 6 ; +; - RAM:inst1|memory[246][29] ; 0 ; 6 ; +; - RAM:inst1|memory[116][29] ; 0 ; 6 ; +; - RAM:inst1|memory[52][29] ; 0 ; 6 ; +; - RAM:inst1|memory[244][29] ; 0 ; 6 ; +; - RAM:inst1|memory[55][29] ; 0 ; 6 ; +; - RAM:inst1|memory[247][29] ; 0 ; 6 ; +; - RAM:inst1|memory[81][13] ; 0 ; 6 ; +; - RAM:inst1|memory[80][13] ; 0 ; 6 ; +; - RAM:inst1|memory[83][13] ; 0 ; 6 ; +; - RAM:inst1|memory[81][21] ; 0 ; 6 ; +; - RAM:inst1|memory[80][21] ; 0 ; 6 ; +; - RAM:inst1|memory[83][21] ; 0 ; 6 ; +; - RAM:inst1|memory[80][5] ; 0 ; 6 ; +; - RAM:inst1|memory[83][5] ; 0 ; 6 ; +; - RAM:inst1|memory[81][29] ; 0 ; 6 ; +; - RAM:inst1|memory[80][29] ; 0 ; 6 ; +; - RAM:inst1|memory[83][29] ; 0 ; 6 ; +; - RAM:inst1|memory[146][21] ; 0 ; 6 ; +; - RAM:inst1|memory[144][21] ; 0 ; 6 ; +; - RAM:inst1|memory[147][21] ; 0 ; 6 ; +; - RAM:inst1|memory[145][13] ; 0 ; 6 ; +; - RAM:inst1|memory[144][13] ; 0 ; 6 ; +; - RAM:inst1|memory[147][13] ; 0 ; 6 ; +; - RAM:inst1|memory[146][5] ; 0 ; 6 ; +; - RAM:inst1|memory[144][5] ; 0 ; 6 ; +; - RAM:inst1|memory[147][5] ; 0 ; 6 ; +; - RAM:inst1|memory[144][29] ; 0 ; 6 ; +; - RAM:inst1|memory[147][29] ; 0 ; 6 ; +; - RAM:inst1|memory[18][21] ; 0 ; 6 ; +; - RAM:inst1|memory[16][21] ; 0 ; 6 ; +; - RAM:inst1|memory[19][21] ; 0 ; 6 ; +; - RAM:inst1|memory[17][13] ; 0 ; 6 ; +; - RAM:inst1|memory[16][13] ; 0 ; 6 ; +; - RAM:inst1|memory[19][13] ; 0 ; 6 ; +; - RAM:inst1|memory[17][5] ; 0 ; 6 ; +; - RAM:inst1|memory[16][5] ; 0 ; 6 ; +; - RAM:inst1|memory[19][5] ; 0 ; 6 ; +; - RAM:inst1|memory[18][29] ; 0 ; 6 ; +; - RAM:inst1|memory[16][29] ; 0 ; 6 ; +; - RAM:inst1|memory[19][29] ; 0 ; 6 ; +; - RAM:inst1|memory[209][13] ; 0 ; 6 ; +; - RAM:inst1|memory[208][13] ; 0 ; 6 ; +; - RAM:inst1|memory[211][13] ; 0 ; 6 ; +; - RAM:inst1|memory[210][21] ; 0 ; 6 ; +; - RAM:inst1|memory[209][21] ; 0 ; 6 ; +; - RAM:inst1|memory[208][21] ; 0 ; 6 ; +; - RAM:inst1|memory[211][21] ; 0 ; 6 ; +; - RAM:inst1|memory[210][5] ; 0 ; 6 ; +; - RAM:inst1|memory[209][5] ; 0 ; 6 ; +; - RAM:inst1|memory[208][5] ; 0 ; 6 ; +; - RAM:inst1|memory[211][5] ; 0 ; 6 ; +; - RAM:inst1|memory[208][29] ; 0 ; 6 ; +; - RAM:inst1|memory[211][29] ; 0 ; 6 ; +; - RAM:inst1|memory[97][21] ; 0 ; 6 ; +; - RAM:inst1|memory[98][21] ; 0 ; 6 ; +; - RAM:inst1|memory[96][21] ; 0 ; 6 ; +; - RAM:inst1|memory[99][21] ; 0 ; 6 ; +; - RAM:inst1|memory[162][21] ; 0 ; 6 ; +; - RAM:inst1|memory[160][21] ; 0 ; 6 ; +; - RAM:inst1|memory[163][21] ; 0 ; 6 ; +; - RAM:inst1|memory[33][21] ; 0 ; 6 ; +; - RAM:inst1|memory[32][21] ; 0 ; 6 ; +; - RAM:inst1|memory[35][21] ; 0 ; 6 ; +; - RAM:inst1|memory[225][21] ; 0 ; 6 ; +; - RAM:inst1|memory[226][21] ; 0 ; 6 ; +; - RAM:inst1|memory[224][21] ; 0 ; 6 ; +; - RAM:inst1|memory[227][21] ; 0 ; 6 ; +; - RAM:inst1|memory[162][13] ; 0 ; 6 ; +; - RAM:inst1|memory[160][13] ; 0 ; 6 ; +; - RAM:inst1|memory[163][13] ; 0 ; 6 ; +; - RAM:inst1|memory[97][13] ; 0 ; 6 ; +; - RAM:inst1|memory[98][13] ; 0 ; 6 ; +; - RAM:inst1|memory[96][13] ; 0 ; 6 ; +; - RAM:inst1|memory[99][13] ; 0 ; 6 ; +; - RAM:inst1|memory[33][13] ; 0 ; 6 ; +; - RAM:inst1|memory[32][13] ; 0 ; 6 ; +; - RAM:inst1|memory[35][13] ; 0 ; 6 ; +; - RAM:inst1|memory[226][13] ; 0 ; 6 ; +; - RAM:inst1|memory[224][13] ; 0 ; 6 ; +; - RAM:inst1|memory[227][13] ; 0 ; 6 ; +; - RAM:inst1|memory[98][5] ; 0 ; 6 ; +; - RAM:inst1|memory[96][5] ; 0 ; 6 ; +; - RAM:inst1|memory[99][5] ; 0 ; 6 ; +; - RAM:inst1|memory[162][5] ; 0 ; 6 ; +; - RAM:inst1|memory[161][5] ; 0 ; 6 ; +; - RAM:inst1|memory[160][5] ; 0 ; 6 ; +; - RAM:inst1|memory[163][5] ; 0 ; 6 ; +; - RAM:inst1|memory[34][5] ; 0 ; 6 ; +; - RAM:inst1|memory[33][5] ; 0 ; 6 ; +; - RAM:inst1|memory[32][5] ; 0 ; 6 ; +; - RAM:inst1|memory[35][5] ; 0 ; 6 ; +; - RAM:inst1|memory[224][5] ; 0 ; 6 ; +; - RAM:inst1|memory[227][5] ; 0 ; 6 ; +; - RAM:inst1|memory[160][29] ; 0 ; 6 ; +; - RAM:inst1|memory[163][29] ; 0 ; 6 ; +; - RAM:inst1|memory[97][29] ; 0 ; 6 ; +; - RAM:inst1|memory[98][29] ; 0 ; 6 ; +; - RAM:inst1|memory[96][29] ; 0 ; 6 ; +; - RAM:inst1|memory[99][29] ; 0 ; 6 ; +; - RAM:inst1|memory[32][29] ; 0 ; 6 ; +; - RAM:inst1|memory[35][29] ; 0 ; 6 ; +; - RAM:inst1|memory[225][29] ; 0 ; 6 ; +; - RAM:inst1|memory[226][29] ; 0 ; 6 ; +; - RAM:inst1|memory[224][29] ; 0 ; 6 ; +; - RAM:inst1|memory[227][29] ; 0 ; 6 ; +; - RAM:inst1|memory[129][13] ; 0 ; 6 ; +; - RAM:inst1|memory[128][13] ; 0 ; 6 ; +; - RAM:inst1|memory[131][13] ; 0 ; 6 ; +; - RAM:inst1|memory[64][13] ; 0 ; 6 ; +; - RAM:inst1|memory[67][13] ; 0 ; 6 ; +; - RAM:inst1|memory[2][13] ; 0 ; 6 ; +; - RAM:inst1|memory[0][13] ; 0 ; 6 ; +; - RAM:inst1|memory[3][13] ; 0 ; 6 ; +; - RAM:inst1|memory[194][13] ; 0 ; 6 ; +; - RAM:inst1|memory[192][13] ; 0 ; 6 ; +; - RAM:inst1|memory[195][13] ; 0 ; 6 ; +; - RAM:inst1|memory[64][21] ; 0 ; 6 ; +; - RAM:inst1|memory[67][21] ; 0 ; 6 ; +; - RAM:inst1|memory[130][21] ; 0 ; 6 ; +; - RAM:inst1|memory[128][21] ; 0 ; 6 ; +; - RAM:inst1|memory[131][21] ; 0 ; 6 ; +; - RAM:inst1|memory[1][21] ; 0 ; 6 ; +; - RAM:inst1|memory[0][21] ; 0 ; 6 ; +; - RAM:inst1|memory[3][21] ; 0 ; 6 ; +; - RAM:inst1|memory[194][21] ; 0 ; 6 ; +; - RAM:inst1|memory[192][21] ; 0 ; 6 ; +; - RAM:inst1|memory[195][21] ; 0 ; 6 ; +; - RAM:inst1|memory[64][5] ; 0 ; 6 ; +; - RAM:inst1|memory[67][5] ; 0 ; 6 ; +; - RAM:inst1|memory[129][5] ; 0 ; 6 ; +; - RAM:inst1|memory[128][5] ; 0 ; 6 ; +; - RAM:inst1|memory[131][5] ; 0 ; 6 ; +; - RAM:inst1|memory[2][5] ; 0 ; 6 ; +; - RAM:inst1|memory[1][5] ; 0 ; 6 ; +; - RAM:inst1|memory[0][5] ; 0 ; 6 ; +; - RAM:inst1|memory[3][5] ; 0 ; 6 ; +; - RAM:inst1|memory[193][5] ; 0 ; 6 ; +; - RAM:inst1|memory[194][5] ; 0 ; 6 ; +; - RAM:inst1|memory[192][5] ; 0 ; 6 ; +; - RAM:inst1|memory[195][5] ; 0 ; 6 ; +; - RAM:inst1|memory[129][29] ; 0 ; 6 ; +; - RAM:inst1|memory[128][29] ; 0 ; 6 ; +; - RAM:inst1|memory[131][29] ; 0 ; 6 ; +; - RAM:inst1|memory[65][29] ; 0 ; 6 ; +; - RAM:inst1|memory[64][29] ; 0 ; 6 ; +; - RAM:inst1|memory[67][29] ; 0 ; 6 ; +; - RAM:inst1|memory[2][29] ; 0 ; 6 ; +; - RAM:inst1|memory[1][29] ; 0 ; 6 ; +; - RAM:inst1|memory[0][29] ; 0 ; 6 ; +; - RAM:inst1|memory[3][29] ; 0 ; 6 ; +; - RAM:inst1|memory[194][29] ; 0 ; 6 ; +; - RAM:inst1|memory[192][29] ; 0 ; 6 ; +; - RAM:inst1|memory[195][29] ; 0 ; 6 ; +; - RAM:inst1|memory[176][21] ; 0 ; 6 ; +; - RAM:inst1|memory[179][21] ; 0 ; 6 ; +; - RAM:inst1|memory[177][13] ; 0 ; 6 ; +; - RAM:inst1|memory[176][13] ; 0 ; 6 ; +; - RAM:inst1|memory[179][13] ; 0 ; 6 ; +; - RAM:inst1|memory[176][5] ; 0 ; 6 ; +; - RAM:inst1|memory[179][5] ; 0 ; 6 ; +; - RAM:inst1|memory[177][29] ; 0 ; 6 ; +; - RAM:inst1|memory[176][29] ; 0 ; 6 ; +; - RAM:inst1|memory[179][29] ; 0 ; 6 ; +; - RAM:inst1|memory[113][13] ; 0 ; 6 ; +; - RAM:inst1|memory[114][13] ; 0 ; 6 ; +; - RAM:inst1|memory[112][13] ; 0 ; 6 ; +; - RAM:inst1|memory[115][13] ; 0 ; 6 ; +; - RAM:inst1|memory[114][21] ; 0 ; 6 ; +; - RAM:inst1|memory[113][21] ; 0 ; 6 ; +; - RAM:inst1|memory[112][21] ; 0 ; 6 ; +; - RAM:inst1|memory[115][21] ; 0 ; 6 ; +; - RAM:inst1|memory[114][5] ; 0 ; 6 ; +; - RAM:inst1|memory[112][5] ; 0 ; 6 ; +; - RAM:inst1|memory[115][5] ; 0 ; 6 ; +; - RAM:inst1|memory[114][29] ; 0 ; 6 ; +; - RAM:inst1|memory[112][29] ; 0 ; 6 ; +; - RAM:inst1|memory[115][29] ; 0 ; 6 ; +; - RAM:inst1|memory[49][21] ; 0 ; 6 ; +; - RAM:inst1|memory[48][21] ; 0 ; 6 ; +; - RAM:inst1|memory[51][21] ; 0 ; 6 ; +; - RAM:inst1|memory[49][13] ; 0 ; 6 ; +; - RAM:inst1|memory[50][13] ; 0 ; 6 ; +; - RAM:inst1|memory[48][13] ; 0 ; 6 ; +; - RAM:inst1|memory[51][13] ; 0 ; 6 ; +; - RAM:inst1|memory[48][5] ; 0 ; 6 ; +; - RAM:inst1|memory[51][5] ; 0 ; 6 ; +; - RAM:inst1|memory[49][29] ; 0 ; 6 ; +; - RAM:inst1|memory[48][29] ; 0 ; 6 ; +; - RAM:inst1|memory[51][29] ; 0 ; 6 ; +; - RAM:inst1|memory[241][13] ; 0 ; 6 ; +; - RAM:inst1|memory[242][13] ; 0 ; 6 ; +; - RAM:inst1|memory[240][13] ; 0 ; 6 ; +; - RAM:inst1|memory[243][13] ; 0 ; 6 ; +; - RAM:inst1|memory[240][21] ; 0 ; 6 ; +; - RAM:inst1|memory[243][21] ; 0 ; 6 ; +; - RAM:inst1|memory[242][5] ; 0 ; 6 ; +; - RAM:inst1|memory[240][5] ; 0 ; 6 ; +; - RAM:inst1|memory[243][5] ; 0 ; 6 ; +; - RAM:inst1|memory[240][29] ; 0 ; 6 ; +; - RAM:inst1|memory[243][29] ; 0 ; 6 ; +; - RAM:inst1|memory[158][21] ; 0 ; 6 ; +; - RAM:inst1|memory[30][21] ; 0 ; 6 ; +; - RAM:inst1|memory[46][21] ; 0 ; 6 ; +; - RAM:inst1|memory[238][21] ; 0 ; 6 ; +; - RAM:inst1|memory[14][21] ; 0 ; 6 ; +; - RAM:inst1|memory[206][21] ; 0 ; 6 ; +; - RAM:inst1|memory[190][21] ; 0 ; 6 ; +; - RAM:inst1|memory[126][21] ; 0 ; 6 ; +; - RAM:inst1|memory[62][21] ; 0 ; 6 ; +; - RAM:inst1|memory[254][21] ; 0 ; 6 ; +; - RAM:inst1|memory[109][21] ; 0 ; 6 ; +; - RAM:inst1|memory[45][21] ; 0 ; 6 ; +; - RAM:inst1|memory[237][21] ; 0 ; 6 ; +; - RAM:inst1|memory[157][21] ; 0 ; 6 ; +; - RAM:inst1|memory[29][21] ; 0 ; 6 ; +; - RAM:inst1|memory[221][21] ; 0 ; 6 ; +; - RAM:inst1|memory[77][21] ; 0 ; 6 ; +; - RAM:inst1|memory[13][21] ; 0 ; 6 ; +; - RAM:inst1|memory[205][21] ; 0 ; 6 ; +; - RAM:inst1|memory[125][21] ; 0 ; 6 ; +; - RAM:inst1|memory[61][21] ; 0 ; 6 ; +; - RAM:inst1|memory[253][21] ; 0 ; 6 ; +; - RAM:inst1|memory[156][21] ; 0 ; 6 ; +; - RAM:inst1|memory[28][21] ; 0 ; 6 ; +; - RAM:inst1|memory[220][21] ; 0 ; 6 ; +; - RAM:inst1|memory[172][21] ; 0 ; 6 ; +; - RAM:inst1|memory[44][21] ; 0 ; 6 ; +; - RAM:inst1|memory[236][21] ; 0 ; 6 ; +; - RAM:inst1|memory[76][21] ; 0 ; 6 ; +; - RAM:inst1|memory[140][21] ; 0 ; 6 ; +; - RAM:inst1|memory[12][21] ; 0 ; 6 ; +; - RAM:inst1|memory[204][21] ; 0 ; 6 ; +; - RAM:inst1|memory[188][21] ; 0 ; 6 ; +; - RAM:inst1|memory[124][21] ; 0 ; 6 ; +; - RAM:inst1|memory[60][21] ; 0 ; 6 ; +; - RAM:inst1|memory[252][21] ; 0 ; 6 ; +; - RAM:inst1|memory[111][21] ; 0 ; 6 ; +; - RAM:inst1|memory[79][21] ; 0 ; 6 ; +; - RAM:inst1|memory[127][21] ; 0 ; 6 ; +; - RAM:inst1|memory[159][21] ; 0 ; 6 ; +; - RAM:inst1|memory[143][21] ; 0 ; 6 ; +; - RAM:inst1|memory[191][21] ; 0 ; 6 ; +; - RAM:inst1|memory[31][21] ; 0 ; 6 ; +; - RAM:inst1|memory[15][21] ; 0 ; 6 ; +; - RAM:inst1|memory[63][21] ; 0 ; 6 ; +; - RAM:inst1|memory[239][21] ; 0 ; 6 ; +; - RAM:inst1|memory[207][21] ; 0 ; 6 ; +; - RAM:inst1|memory[255][21] ; 0 ; 6 ; +; - RAM:inst1|memory[93][13] ; 0 ; 6 ; +; - RAM:inst1|memory[109][13] ; 0 ; 6 ; +; - RAM:inst1|memory[77][13] ; 0 ; 6 ; +; - RAM:inst1|memory[125][13] ; 0 ; 6 ; +; - RAM:inst1|memory[110][13] ; 0 ; 6 ; +; - RAM:inst1|memory[94][13] ; 0 ; 6 ; +; - RAM:inst1|memory[78][13] ; 0 ; 6 ; +; - RAM:inst1|memory[126][13] ; 0 ; 6 ; +; - RAM:inst1|memory[108][13] ; 0 ; 6 ; +; - RAM:inst1|memory[76][13] ; 0 ; 6 ; +; - RAM:inst1|memory[124][13] ; 0 ; 6 ; +; - RAM:inst1|memory[95][13] ; 0 ; 6 ; +; - RAM:inst1|memory[79][13] ; 0 ; 6 ; +; - RAM:inst1|memory[127][13] ; 0 ; 6 ; +; - RAM:inst1|memory[174][13] ; 0 ; 6 ; +; - RAM:inst1|memory[158][13] ; 0 ; 6 ; +; - RAM:inst1|memory[142][13] ; 0 ; 6 ; +; - RAM:inst1|memory[190][13] ; 0 ; 6 ; +; - RAM:inst1|memory[157][13] ; 0 ; 6 ; +; - RAM:inst1|memory[173][13] ; 0 ; 6 ; +; - RAM:inst1|memory[141][13] ; 0 ; 6 ; +; - RAM:inst1|memory[189][13] ; 0 ; 6 ; +; - RAM:inst1|memory[172][13] ; 0 ; 6 ; +; - RAM:inst1|memory[156][13] ; 0 ; 6 ; +; - RAM:inst1|memory[140][13] ; 0 ; 6 ; +; - RAM:inst1|memory[188][13] ; 0 ; 6 ; +; - RAM:inst1|memory[159][13] ; 0 ; 6 ; +; - RAM:inst1|memory[143][13] ; 0 ; 6 ; +; - RAM:inst1|memory[191][13] ; 0 ; 6 ; +; - RAM:inst1|memory[46][13] ; 0 ; 6 ; +; - RAM:inst1|memory[14][13] ; 0 ; 6 ; +; - RAM:inst1|memory[62][13] ; 0 ; 6 ; +; - RAM:inst1|memory[45][13] ; 0 ; 6 ; +; - RAM:inst1|memory[13][13] ; 0 ; 6 ; +; - RAM:inst1|memory[61][13] ; 0 ; 6 ; +; - RAM:inst1|memory[44][13] ; 0 ; 6 ; +; - RAM:inst1|memory[12][13] ; 0 ; 6 ; +; - RAM:inst1|memory[60][13] ; 0 ; 6 ; +; - RAM:inst1|memory[31][13] ; 0 ; 6 ; +; - RAM:inst1|memory[47][13] ; 0 ; 6 ; +; - RAM:inst1|memory[15][13] ; 0 ; 6 ; +; - RAM:inst1|memory[63][13] ; 0 ; 6 ; +; - RAM:inst1|memory[237][13] ; 0 ; 6 ; +; - RAM:inst1|memory[236][13] ; 0 ; 6 ; +; - RAM:inst1|memory[239][13] ; 0 ; 6 ; +; - RAM:inst1|memory[222][13] ; 0 ; 6 ; +; - RAM:inst1|memory[220][13] ; 0 ; 6 ; +; - RAM:inst1|memory[223][13] ; 0 ; 6 ; +; - RAM:inst1|memory[204][13] ; 0 ; 6 ; +; - RAM:inst1|memory[207][13] ; 0 ; 6 ; +; - RAM:inst1|memory[253][13] ; 0 ; 6 ; +; - RAM:inst1|memory[252][13] ; 0 ; 6 ; +; - RAM:inst1|memory[255][13] ; 0 ; 6 ; +; - RAM:inst1|memory[157][5] ; 0 ; 6 ; +; - RAM:inst1|memory[141][5] ; 0 ; 6 ; +; - RAM:inst1|memory[189][5] ; 0 ; 6 ; +; - RAM:inst1|memory[77][5] ; 0 ; 6 ; +; - RAM:inst1|memory[125][5] ; 0 ; 6 ; +; - RAM:inst1|memory[45][5] ; 0 ; 6 ; +; - RAM:inst1|memory[13][5] ; 0 ; 6 ; +; - RAM:inst1|memory[61][5] ; 0 ; 6 ; +; - RAM:inst1|memory[221][5] ; 0 ; 6 ; +; - RAM:inst1|memory[205][5] ; 0 ; 6 ; +; - RAM:inst1|memory[253][5] ; 0 ; 6 ; +; - RAM:inst1|memory[94][5] ; 0 ; 6 ; +; - RAM:inst1|memory[110][5] ; 0 ; 6 ; +; - RAM:inst1|memory[78][5] ; 0 ; 6 ; +; - RAM:inst1|memory[126][5] ; 0 ; 6 ; +; - RAM:inst1|memory[174][5] ; 0 ; 6 ; +; - RAM:inst1|memory[158][5] ; 0 ; 6 ; +; - RAM:inst1|memory[142][5] ; 0 ; 6 ; +; - RAM:inst1|memory[190][5] ; 0 ; 6 ; +; - RAM:inst1|memory[46][5] ; 0 ; 6 ; +; - RAM:inst1|memory[14][5] ; 0 ; 6 ; +; - RAM:inst1|memory[62][5] ; 0 ; 6 ; +; - RAM:inst1|memory[206][5] ; 0 ; 6 ; +; - RAM:inst1|memory[254][5] ; 0 ; 6 ; +; - RAM:inst1|memory[108][5] ; 0 ; 6 ; +; - RAM:inst1|memory[76][5] ; 0 ; 6 ; +; - RAM:inst1|memory[124][5] ; 0 ; 6 ; +; - RAM:inst1|memory[172][5] ; 0 ; 6 ; +; - RAM:inst1|memory[156][5] ; 0 ; 6 ; +; - RAM:inst1|memory[140][5] ; 0 ; 6 ; +; - RAM:inst1|memory[188][5] ; 0 ; 6 ; +; - RAM:inst1|memory[44][5] ; 0 ; 6 ; +; - RAM:inst1|memory[28][5] ; 0 ; 6 ; +; - RAM:inst1|memory[12][5] ; 0 ; 6 ; +; - RAM:inst1|memory[60][5] ; 0 ; 6 ; +; - RAM:inst1|memory[204][5] ; 0 ; 6 ; +; - RAM:inst1|memory[252][5] ; 0 ; 6 ; +; - RAM:inst1|memory[175][5] ; 0 ; 6 ; +; - RAM:inst1|memory[159][5] ; 0 ; 6 ; +; - RAM:inst1|memory[143][5] ; 0 ; 6 ; +; - RAM:inst1|memory[191][5] ; 0 ; 6 ; +; - RAM:inst1|memory[79][5] ; 0 ; 6 ; +; - RAM:inst1|memory[127][5] ; 0 ; 6 ; +; - RAM:inst1|memory[47][5] ; 0 ; 6 ; +; - RAM:inst1|memory[31][5] ; 0 ; 6 ; +; - RAM:inst1|memory[15][5] ; 0 ; 6 ; +; - RAM:inst1|memory[63][5] ; 0 ; 6 ; +; - RAM:inst1|memory[239][5] ; 0 ; 6 ; +; - RAM:inst1|memory[207][5] ; 0 ; 6 ; +; - RAM:inst1|memory[255][5] ; 0 ; 6 ; +; - RAM:inst1|memory[173][29] ; 0 ; 6 ; +; - RAM:inst1|memory[172][29] ; 0 ; 6 ; +; - RAM:inst1|memory[175][29] ; 0 ; 6 ; +; - RAM:inst1|memory[158][29] ; 0 ; 6 ; +; - RAM:inst1|memory[156][29] ; 0 ; 6 ; +; - RAM:inst1|memory[159][29] ; 0 ; 6 ; +; - RAM:inst1|memory[141][29] ; 0 ; 6 ; +; - RAM:inst1|memory[140][29] ; 0 ; 6 ; +; - RAM:inst1|memory[143][29] ; 0 ; 6 ; +; - RAM:inst1|memory[190][29] ; 0 ; 6 ; +; - RAM:inst1|memory[188][29] ; 0 ; 6 ; +; - RAM:inst1|memory[191][29] ; 0 ; 6 ; +; - RAM:inst1|memory[93][29] ; 0 ; 6 ; +; - RAM:inst1|memory[92][29] ; 0 ; 6 ; +; - RAM:inst1|memory[95][29] ; 0 ; 6 ; +; - RAM:inst1|memory[109][29] ; 0 ; 6 ; +; - RAM:inst1|memory[110][29] ; 0 ; 6 ; +; - RAM:inst1|memory[108][29] ; 0 ; 6 ; +; - RAM:inst1|memory[111][29] ; 0 ; 6 ; +; - RAM:inst1|memory[77][29] ; 0 ; 6 ; +; - RAM:inst1|memory[78][29] ; 0 ; 6 ; +; - RAM:inst1|memory[76][29] ; 0 ; 6 ; +; - RAM:inst1|memory[79][29] ; 0 ; 6 ; +; - RAM:inst1|memory[126][29] ; 0 ; 6 ; +; - RAM:inst1|memory[125][29] ; 0 ; 6 ; +; - RAM:inst1|memory[124][29] ; 0 ; 6 ; +; - RAM:inst1|memory[127][29] ; 0 ; 6 ; +; - RAM:inst1|memory[46][29] ; 0 ; 6 ; +; - RAM:inst1|memory[44][29] ; 0 ; 6 ; +; - RAM:inst1|memory[47][29] ; 0 ; 6 ; +; - RAM:inst1|memory[30][29] ; 0 ; 6 ; +; - RAM:inst1|memory[29][29] ; 0 ; 6 ; +; - RAM:inst1|memory[28][29] ; 0 ; 6 ; +; - RAM:inst1|memory[31][29] ; 0 ; 6 ; +; - RAM:inst1|memory[14][29] ; 0 ; 6 ; +; - RAM:inst1|memory[12][29] ; 0 ; 6 ; +; - RAM:inst1|memory[15][29] ; 0 ; 6 ; +; - RAM:inst1|memory[62][29] ; 0 ; 6 ; +; - RAM:inst1|memory[61][29] ; 0 ; 6 ; +; - RAM:inst1|memory[60][29] ; 0 ; 6 ; +; - RAM:inst1|memory[63][29] ; 0 ; 6 ; +; - RAM:inst1|memory[221][29] ; 0 ; 6 ; +; - RAM:inst1|memory[205][29] ; 0 ; 6 ; +; - RAM:inst1|memory[253][29] ; 0 ; 6 ; +; - RAM:inst1|memory[238][29] ; 0 ; 6 ; +; - RAM:inst1|memory[206][29] ; 0 ; 6 ; +; - RAM:inst1|memory[254][29] ; 0 ; 6 ; +; - RAM:inst1|memory[220][29] ; 0 ; 6 ; +; - RAM:inst1|memory[204][29] ; 0 ; 6 ; +; - RAM:inst1|memory[252][29] ; 0 ; 6 ; +; - RAM:inst1|memory[239][29] ; 0 ; 6 ; +; - RAM:inst1|memory[207][29] ; 0 ; 6 ; +; - RAM:inst1|memory[255][29] ; 0 ; 6 ; +; - RAM:inst1|memory[65][21]~feeder ; 0 ; 6 ; +; - RAM:inst1|memory[201][13]~feeder ; 0 ; 6 ; +; - RAM:inst1|memory[185][13]~feeder ; 0 ; 6 ; +; - RAM:inst1|memory[9][13]~feeder ; 0 ; 6 ; +; - RAM:inst1|memory[11][13]~feeder ; 0 ; 6 ; +; - RAM:inst1|memory[171][21]~feeder ; 0 ; 6 ; +; - RAM:inst1|memory[171][29]~feeder ; 0 ; 6 ; +; - RAM:inst1|memory[107][21]~feeder ; 0 ; 6 ; +; - RAM:inst1|memory[123][21]~feeder ; 0 ; 6 ; +; - RAM:inst1|memory[185][21]~feeder ; 0 ; 6 ; +; - RAM:inst1|memory[57][21]~feeder ; 0 ; 6 ; +; - RAM:inst1|memory[121][13]~feeder ; 0 ; 6 ; +; - RAM:inst1|memory[57][13]~feeder ; 0 ; 6 ; +; - RAM:inst1|memory[137][21]~feeder ; 0 ; 6 ; +; - RAM:inst1|memory[129][21]~feeder ; 0 ; 6 ; +; - RAM:inst1|memory[28][13]~feeder ; 0 ; 6 ; +; - RAM:inst1|memory[24][13]~feeder ; 0 ; 6 ; +; - RAM:inst1|memory[47][21]~feeder ; 0 ; 6 ; +; - RAM:inst1|memory[49][5]~feeder ; 0 ; 6 ; +; - RAM:inst1|memory[245][21]~feeder ; 0 ; 6 ; +; - RAM:inst1|memory[241][21]~feeder ; 0 ; 6 ; +; - RAM:inst1|memory[153][13]~feeder ; 0 ; 6 ; +; - RAM:inst1|memory[249][13]~feeder ; 0 ; 6 ; +; - RAM:inst1|memory[9][21]~feeder ; 0 ; 6 ; +; - RAM:inst1|memory[17][29]~feeder ; 0 ; 6 ; +; - RAM:inst1|memory[200][21]~feeder ; 0 ; 6 ; +; - RAM:inst1|memory[136][21]~feeder ; 0 ; 6 ; +; - RAM:inst1|memory[149][29]~feeder ; 0 ; 6 ; +; - RAM:inst1|memory[157][29]~feeder ; 0 ; 6 ; +; - RAM:inst1|memory[219][21]~feeder ; 0 ; 6 ; +; - RAM:inst1|memory[95][5]~feeder ; 0 ; 6 ; +; - RAM:inst1|memory[221][13]~feeder ; 0 ; 6 ; +; - RAM:inst1|memory[209][29]~feeder ; 0 ; 6 ; +; - RAM:inst1|memory[27][21]~feeder ; 0 ; 6 ; +; - RAM:inst1|memory[168][5]~feeder ; 0 ; 6 ; +; - RAM:inst1|memory[223][21]~feeder ; 0 ; 6 ; +; - RAM:inst1|memory[8][21]~feeder ; 0 ; 6 ; +; - RAM:inst1|memory[219][13]~feeder ; 0 ; 6 ; +; - RAM:inst1|memory[145][5]~feeder ; 0 ; 6 ; +; - RAM:inst1|memory[145][29]~feeder ; 0 ; 6 ; +; - RAM:inst1|memory[223][5]~feeder ; 0 ; 6 ; +; - RAM:inst1|memory[17][21]~feeder ; 0 ; 6 ; +; - RAM:inst1|memory[145][21]~feeder ; 0 ; 6 ; +; - RAM:inst1|memory[89][21]~feeder ; 0 ; 6 ; +; - RAM:inst1|memory[170][5]~feeder ; 0 ; 6 ; +; - RAM:inst1|memory[95][21]~feeder ; 0 ; 6 ; +; - RAM:inst1|memory[153][21]~feeder ; 0 ; 6 ; +; - RAM:inst1|memory[152][21]~feeder ; 0 ; 6 ; +; - RAM:inst1|memory[216][21]~feeder ; 0 ; 6 ; +; - RAM:inst1|memory[106][21]~feeder ; 0 ; 6 ; +; - RAM:inst1|memory[106][29]~feeder ; 0 ; 6 ; +; - RAM:inst1|memory[187][13]~feeder ; 0 ; 6 ; +; - RAM:inst1|memory[146][13]~feeder ; 0 ; 6 ; +; - RAM:inst1|memory[154][13]~feeder ; 0 ; 6 ; +; - RAM:inst1|memory[210][29]~feeder ; 0 ; 6 ; +; - RAM:inst1|memory[146][29]~feeder ; 0 ; 6 ; +; - RAM:inst1|memory[82][13]~feeder ; 0 ; 6 ; +; - RAM:inst1|memory[82][5]~feeder ; 0 ; 6 ; +; - RAM:inst1|memory[178][5]~feeder ; 0 ; 6 ; +; - RAM:inst1|memory[24][21]~feeder ; 0 ; 6 ; +; - RAM:inst1|memory[58][21]~feeder ; 0 ; 6 ; +; - RAM:inst1|memory[26][21]~feeder ; 0 ; 6 ; +; - RAM:inst1|memory[50][29]~feeder ; 0 ; 6 ; +; - RAM:inst1|memory[18][5]~feeder ; 0 ; 6 ; +; - RAM:inst1|memory[202][21]~feeder ; 0 ; 6 ; +; - RAM:inst1|memory[186][13]~feeder ; 0 ; 6 ; +; - RAM:inst1|memory[105][29]~feeder ; 0 ; 6 ; +; - RAM:inst1|memory[107][29]~feeder ; 0 ; 6 ; +; - RAM:inst1|memory[241][5]~feeder ; 0 ; 6 ; +; - RAM:inst1|memory[73][13]~feeder ; 0 ; 6 ; +; - RAM:inst1|memory[105][13]~feeder ; 0 ; 6 ; +; - RAM:inst1|memory[105][21]~feeder ; 0 ; 6 ; +; - RAM:inst1|memory[121][21]~feeder ; 0 ; 6 ; +; - RAM:inst1|memory[241][29]~feeder ; 0 ; 6 ; +; - RAM:inst1|memory[91][13]~feeder ; 0 ; 6 ; +; - RAM:inst1|memory[13][29]~feeder ; 0 ; 6 ; +; - RAM:inst1|memory[177][5]~feeder ; 0 ; 6 ; +; - RAM:inst1|memory[177][21]~feeder ; 0 ; 6 ; +; - RAM:inst1|memory[81][5]~feeder ; 0 ; 6 ; +; - RAM:inst1|memory[65][5]~feeder ; 0 ; 6 ; +; - RAM:inst1|memory[10][21]~feeder ; 0 ; 6 ; +; - RAM:inst1|memory[2][21]~feeder ; 0 ; 6 ; +; - RAM:inst1|memory[178][21]~feeder ; 0 ; 6 ; +; - RAM:inst1|memory[50][21]~feeder ; 0 ; 6 ; +; - RAM:inst1|memory[50][5]~feeder ; 0 ; 6 ; +; - RAM:inst1|memory[66][29]~feeder ; 0 ; 6 ; +; - RAM:inst1|memory[242][29]~feeder ; 0 ; 6 ; +; - RAM:inst1|memory[242][21]~feeder ; 0 ; 6 ; +; - RAM:inst1|memory[220][5]~feeder ; 0 ; 6 ; +; - RAM:inst1|memory[213][21]~feeder ; 0 ; 6 ; +; - RAM:inst1|memory[92][5]~feeder ; 0 ; 6 ; +; - RAM:inst1|memory[92][21]~feeder ; 0 ; 6 ; +; - RAM:inst1|memory[254][13]~feeder ; 0 ; 6 ; +; - RAM:inst1|memory[108][21]~feeder ; 0 ; 6 ; +; - RAM:inst1|memory[222][21]~feeder ; 0 ; 6 ; +; - RAM:inst1|memory[210][13]~feeder ; 0 ; 6 ; +; - RAM:inst1|memory[93][21]~feeder ; 0 ; 6 ; +; - RAM:inst1|memory[85][21]~feeder ; 0 ; 6 ; +; - RAM:inst1|memory[236][5]~feeder ; 0 ; 6 ; +; - RAM:inst1|memory[193][21]~feeder ; 0 ; 6 ; +; - RAM:inst1|memory[92][13]~feeder ; 0 ; 6 ; +; - RAM:inst1|memory[151][5]~feeder ; 0 ; 6 ; +; - RAM:inst1|memory[69][21]~feeder ; 0 ; 6 ; +; - RAM:inst1|memory[149][21]~feeder ; 0 ; 6 ; +; - RAM:inst1|memory[66][13]~feeder ; 0 ; 6 ; +; - RAM:inst1|memory[178][13]~feeder ; 0 ; 6 ; +; - RAM:inst1|memory[178][29]~feeder ; 0 ; 6 ; +; - RAM:inst1|memory[223][29]~feeder ; 0 ; 6 ; +; - RAM:inst1|memory[235][13]~feeder ; 0 ; 6 ; +; - RAM:inst1|memory[233][13]~feeder ; 0 ; 6 ; +; - RAM:inst1|memory[141][21]~feeder ; 0 ; 6 ; +; - RAM:inst1|memory[93][5]~feeder ; 0 ; 6 ; +; - RAM:inst1|memory[85][5]~feeder ; 0 ; 6 ; +; - RAM:inst1|memory[189][21]~feeder ; 0 ; 6 ; +; - RAM:inst1|memory[197][21]~feeder ; 0 ; 6 ; +; - RAM:inst1|memory[193][29]~feeder ; 0 ; 6 ; +; - RAM:inst1|memory[113][29]~feeder ; 0 ; 6 ; +; - RAM:inst1|memory[29][13]~feeder ; 0 ; 6 ; +; - RAM:inst1|memory[29][5]~feeder ; 0 ; 6 ; +; - RAM:inst1|memory[189][29]~feeder ; 0 ; 6 ; +; - RAM:inst1|memory[149][5]~feeder ; 0 ; 6 ; +; - RAM:inst1|memory[173][5]~feeder ; 0 ; 6 ; +; - RAM:inst1|memory[173][21]~feeder ; 0 ; 6 ; +; - RAM:inst1|memory[109][5]~feeder ; 0 ; 6 ; +; - RAM:inst1|memory[45][29]~feeder ; 0 ; 6 ; +; - RAM:inst1|memory[161][21]~feeder ; 0 ; 6 ; +; - RAM:inst1|memory[161][29]~feeder ; 0 ; 6 ; +; - RAM:inst1|memory[237][5]~feeder ; 0 ; 6 ; +; - RAM:inst1|memory[111][13]~feeder ; 0 ; 6 ; +; - RAM:inst1|memory[107][13]~feeder ; 0 ; 6 ; +; - RAM:inst1|memory[233][29]~feeder ; 0 ; 6 ; +; - RAM:inst1|memory[237][29]~feeder ; 0 ; 6 ; +; - RAM:inst1|memory[97][5]~feeder ; 0 ; 6 ; +; - RAM:inst1|memory[113][5]~feeder ; 0 ; 6 ; +; - RAM:inst1|memory[101][21]~feeder ; 0 ; 6 ; +; - RAM:inst1|memory[117][21]~feeder ; 0 ; 6 ; +; - RAM:inst1|memory[137][13]~feeder ; 0 ; 6 ; +; - RAM:inst1|memory[33][29]~feeder ; 0 ; 6 ; +; - RAM:inst1|memory[205][13]~feeder ; 0 ; 6 ; +; - RAM:inst1|memory[37][21]~feeder ; 0 ; 6 ; +; - RAM:inst1|memory[165][21]~feeder ; 0 ; 6 ; +; - RAM:inst1|memory[165][29]~feeder ; 0 ; 6 ; +; - RAM:inst1|memory[1][13]~feeder ; 0 ; 6 ; +; - RAM:inst1|memory[65][13]~feeder ; 0 ; 6 ; +; - RAM:inst1|memory[236][29]~feeder ; 0 ; 6 ; +; - RAM:inst1|memory[87][29]~feeder ; 0 ; 6 ; +; - RAM:inst1|memory[111][5]~feeder ; 0 ; 6 ; +; - RAM:inst1|memory[238][13]~feeder ; 0 ; 6 ; +; - RAM:inst1|memory[193][13]~feeder ; 0 ; 6 ; +; - RAM:inst1|memory[161][13]~feeder ; 0 ; 6 ; +; - RAM:inst1|memory[225][13]~feeder ; 0 ; 6 ; +; - RAM:inst1|memory[225][5]~feeder ; 0 ; 6 ; +; - RAM:inst1|memory[197][13]~feeder ; 0 ; 6 ; +; - RAM:inst1|memory[119][29]~feeder ; 0 ; 6 ; +; - RAM:inst1|memory[34][13]~feeder ; 0 ; 6 ; +; - RAM:inst1|memory[213][13]~feeder ; 0 ; 6 ; +; - RAM:inst1|memory[151][13]~feeder ; 0 ; 6 ; +; - RAM:inst1|memory[139][13]~feeder ; 0 ; 6 ; +; - RAM:inst1|memory[151][29]~feeder ; 0 ; 6 ; +; - RAM:inst1|memory[135][29]~feeder ; 0 ; 6 ; +; - RAM:inst1|memory[203][13]~feeder ; 0 ; 6 ; +; - RAM:inst1|memory[175][13]~feeder ; 0 ; 6 ; +; - RAM:inst1|memory[148][13]~feeder ; 0 ; 6 ; +; - RAM:inst1|memory[167][5]~feeder ; 0 ; 6 ; +; - RAM:inst1|memory[206][13]~feeder ; 0 ; 6 ; +; - RAM:inst1|memory[36][13]~feeder ; 0 ; 6 ; +; - RAM:inst1|memory[167][13]~feeder ; 0 ; 6 ; +; - RAM:inst1|memory[180][29]~feeder ; 0 ; 6 ; +; - RAM:inst1|memory[148][29]~feeder ; 0 ; 6 ; +; - RAM:inst1|memory[175][21]~feeder ; 0 ; 6 ; +; - RAM:inst1|memory[198][21]~feeder ; 0 ; 6 ; +; - RAM:inst1|memory[183][29]~feeder ; 0 ; 6 ; +; - RAM:inst1|memory[164][29]~feeder ; 0 ; 6 ; +; - RAM:inst1|memory[164][13]~feeder ; 0 ; 6 ; +; - RAM:inst1|memory[245][13]~feeder ; 0 ; 6 ; +; - RAM:inst1|memory[69][13]~feeder ; 0 ; 6 ; +; - RAM:inst1|memory[167][29]~feeder ; 0 ; 6 ; +; - RAM:inst1|memory[100][29]~feeder ; 0 ; 6 ; +; - RAM:inst1|memory[166][13]~feeder ; 0 ; 6 ; +; - RAM:inst1|memory[102][13]~feeder ; 0 ; 6 ; +; - RAM:inst1|memory[86][29]~feeder ; 0 ; 6 ; +; - RAM:inst1|memory[86][21]~feeder ; 0 ; 6 ; +; - RAM:inst1|memory[82][29]~feeder ; 0 ; 6 ; +; - RAM:inst1|memory[94][29]~feeder ; 0 ; 6 ; +; - RAM:inst1|memory[18][13]~feeder ; 0 ; 6 ; +; - RAM:inst1|memory[30][13]~feeder ; 0 ; 6 ; +; - RAM:inst1|memory[82][21]~feeder ; 0 ; 6 ; +; - RAM:inst1|memory[66][21]~feeder ; 0 ; 6 ; +; - RAM:inst1|memory[110][21]~feeder ; 0 ; 6 ; +; - RAM:inst1|memory[78][21]~feeder ; 0 ; 6 ; +; - RAM:inst1|memory[94][21]~feeder ; 0 ; 6 ; +; - RAM:inst1|memory[22][5]~feeder ; 0 ; 6 ; +; - RAM:inst1|memory[30][5]~feeder ; 0 ; 6 ; +; - RAM:inst1|memory[222][5]~feeder ; 0 ; 6 ; +; - RAM:inst1|memory[214][5]~feeder ; 0 ; 6 ; +; - RAM:inst1|memory[70][21]~feeder ; 0 ; 6 ; +; - RAM:inst1|memory[118][21]~feeder ; 0 ; 6 ; +; - RAM:inst1|memory[150][13]~feeder ; 0 ; 6 ; +; - RAM:inst1|memory[150][29]~feeder ; 0 ; 6 ; +; - RAM:inst1|memory[226][5]~feeder ; 0 ; 6 ; +; - RAM:inst1|memory[230][5]~feeder ; 0 ; 6 ; +; - RAM:inst1|memory[198][5]~feeder ; 0 ; 6 ; +; - RAM:inst1|memory[34][29]~feeder ; 0 ; 6 ; +; - RAM:inst1|memory[34][21]~feeder ; 0 ; 6 ; +; - RAM:inst1|memory[142][21]~feeder ; 0 ; 6 ; +; - RAM:inst1|memory[66][5]~feeder ; 0 ; 6 ; +; - RAM:inst1|memory[174][21]~feeder ; 0 ; 6 ; +; - RAM:inst1|memory[222][29]~feeder ; 0 ; 6 ; +; - RAM:inst1|memory[214][21]~feeder ; 0 ; 6 ; +; - RAM:inst1|memory[151][21]~feeder ; 0 ; 6 ; +; - RAM:inst1|memory[130][5]~feeder ; 0 ; 6 ; +; - RAM:inst1|memory[238][5]~feeder ; 0 ; 6 ; +; - RAM:inst1|memory[102][5]~feeder ; 0 ; 6 ; +; - RAM:inst1|memory[130][29]~feeder ; 0 ; 6 ; +; - RAM:inst1|memory[130][13]~feeder ; 0 ; 6 ; +; - RAM:inst1|memory[162][29]~feeder ; 0 ; 6 ; +; - RAM:inst1|memory[174][29]~feeder ; 0 ; 6 ; +; - RAM:inst1|memory[142][29]~feeder ; 0 ; 6 ; +; - RAM:inst1|memory[182][29]~feeder ; 0 ; 6 ; +; - RAM:inst1|memory[148][5]~feeder ; 0 ; 6 ; +; - RAM:inst1|memory[118][13]~feeder ; 0 ; 6 ; +; - RAM:inst1|memory[246][13]~feeder ; 0 ; 6 ; +; - RAM:inst1|memory[38][21]~feeder ; 0 ; 6 ; +; - RAM:inst1|memory[38][5]~feeder ; 0 ; 6 ; +; Data[4] ; ; ; +; - RAM:inst1|memory[106][20] ; 0 ; 6 ; +; - RAM:inst1|memory[105][20] ; 0 ; 6 ; +; - RAM:inst1|memory[104][20] ; 0 ; 6 ; +; - RAM:inst1|memory[107][20] ; 0 ; 6 ; +; - RAM:inst1|memory[101][20] ; 0 ; 6 ; +; - RAM:inst1|memory[100][20] ; 0 ; 6 ; +; - RAM:inst1|memory[103][20] ; 0 ; 6 ; +; - RAM:inst1|memory[97][20] ; 0 ; 6 ; +; - RAM:inst1|memory[96][20] ; 0 ; 6 ; +; - RAM:inst1|memory[99][20] ; 0 ; 6 ; +; - RAM:inst1|memory[109][20] ; 0 ; 6 ; +; - RAM:inst1|memory[108][20] ; 0 ; 6 ; +; - RAM:inst1|memory[111][20] ; 0 ; 6 ; +; - RAM:inst1|memory[166][20] ; 0 ; 6 ; +; - RAM:inst1|memory[164][20] ; 0 ; 6 ; +; - RAM:inst1|memory[167][20] ; 0 ; 6 ; +; - RAM:inst1|memory[170][20] ; 0 ; 6 ; +; - RAM:inst1|memory[169][20] ; 0 ; 6 ; +; - RAM:inst1|memory[168][20] ; 0 ; 6 ; +; - RAM:inst1|memory[171][20] ; 0 ; 6 ; +; - RAM:inst1|memory[162][20] ; 0 ; 6 ; +; - RAM:inst1|memory[160][20] ; 0 ; 6 ; +; - RAM:inst1|memory[163][20] ; 0 ; 6 ; +; - RAM:inst1|memory[173][20] ; 0 ; 6 ; +; - RAM:inst1|memory[172][20] ; 0 ; 6 ; +; - RAM:inst1|memory[175][20] ; 0 ; 6 ; +; - RAM:inst1|memory[37][20] ; 0 ; 6 ; +; - RAM:inst1|memory[38][20] ; 0 ; 6 ; +; - RAM:inst1|memory[36][20] ; 0 ; 6 ; +; - RAM:inst1|memory[39][20] ; 0 ; 6 ; +; - RAM:inst1|memory[41][20] ; 0 ; 6 ; +; - RAM:inst1|memory[40][20] ; 0 ; 6 ; +; - RAM:inst1|memory[43][20] ; 0 ; 6 ; +; - RAM:inst1|memory[34][20] ; 0 ; 6 ; +; - RAM:inst1|memory[32][20] ; 0 ; 6 ; +; - RAM:inst1|memory[35][20] ; 0 ; 6 ; +; - RAM:inst1|memory[45][20] ; 0 ; 6 ; +; - RAM:inst1|memory[44][20] ; 0 ; 6 ; +; - RAM:inst1|memory[47][20] ; 0 ; 6 ; +; - RAM:inst1|memory[234][20] ; 0 ; 6 ; +; - RAM:inst1|memory[233][20] ; 0 ; 6 ; +; - RAM:inst1|memory[232][20] ; 0 ; 6 ; +; - RAM:inst1|memory[235][20] ; 0 ; 6 ; +; - RAM:inst1|memory[230][20] ; 0 ; 6 ; +; - RAM:inst1|memory[228][20] ; 0 ; 6 ; +; - RAM:inst1|memory[231][20] ; 0 ; 6 ; +; - RAM:inst1|memory[226][20] ; 0 ; 6 ; +; - RAM:inst1|memory[225][20] ; 0 ; 6 ; +; - RAM:inst1|memory[224][20] ; 0 ; 6 ; +; - RAM:inst1|memory[227][20] ; 0 ; 6 ; +; - RAM:inst1|memory[236][20] ; 0 ; 6 ; +; - RAM:inst1|memory[239][20] ; 0 ; 6 ; +; - RAM:inst1|memory[170][12] ; 0 ; 6 ; +; - RAM:inst1|memory[106][12] ; 0 ; 6 ; +; - RAM:inst1|memory[42][12] ; 0 ; 6 ; +; - RAM:inst1|memory[234][12] ; 0 ; 6 ; +; - RAM:inst1|memory[102][12] ; 0 ; 6 ; +; - RAM:inst1|memory[166][12] ; 0 ; 6 ; +; - RAM:inst1|memory[38][12] ; 0 ; 6 ; +; - RAM:inst1|memory[230][12] ; 0 ; 6 ; +; - RAM:inst1|memory[34][12] ; 0 ; 6 ; +; - RAM:inst1|memory[226][12] ; 0 ; 6 ; +; - RAM:inst1|memory[174][12] ; 0 ; 6 ; +; - RAM:inst1|memory[46][12] ; 0 ; 6 ; +; - RAM:inst1|memory[238][12] ; 0 ; 6 ; +; - RAM:inst1|memory[101][12] ; 0 ; 6 ; +; - RAM:inst1|memory[97][12] ; 0 ; 6 ; +; - RAM:inst1|memory[109][12] ; 0 ; 6 ; +; - RAM:inst1|memory[165][12] ; 0 ; 6 ; +; - RAM:inst1|memory[161][12] ; 0 ; 6 ; +; - RAM:inst1|memory[173][12] ; 0 ; 6 ; +; - RAM:inst1|memory[37][12] ; 0 ; 6 ; +; - RAM:inst1|memory[33][12] ; 0 ; 6 ; +; - RAM:inst1|memory[45][12] ; 0 ; 6 ; +; - RAM:inst1|memory[233][12] ; 0 ; 6 ; +; - RAM:inst1|memory[225][12] ; 0 ; 6 ; +; - RAM:inst1|memory[237][12] ; 0 ; 6 ; +; - RAM:inst1|memory[164][12] ; 0 ; 6 ; +; - RAM:inst1|memory[36][12] ; 0 ; 6 ; +; - RAM:inst1|memory[228][12] ; 0 ; 6 ; +; - RAM:inst1|memory[104][12] ; 0 ; 6 ; +; - RAM:inst1|memory[168][12] ; 0 ; 6 ; +; - RAM:inst1|memory[40][12] ; 0 ; 6 ; +; - RAM:inst1|memory[232][12] ; 0 ; 6 ; +; - RAM:inst1|memory[32][12] ; 0 ; 6 ; +; - RAM:inst1|memory[224][12] ; 0 ; 6 ; +; - RAM:inst1|memory[172][12] ; 0 ; 6 ; +; - RAM:inst1|memory[108][12] ; 0 ; 6 ; +; - RAM:inst1|memory[44][12] ; 0 ; 6 ; +; - RAM:inst1|memory[236][12] ; 0 ; 6 ; +; - RAM:inst1|memory[167][12] ; 0 ; 6 ; +; - RAM:inst1|memory[171][12] ; 0 ; 6 ; +; - RAM:inst1|memory[163][12] ; 0 ; 6 ; +; - RAM:inst1|memory[175][12] ; 0 ; 6 ; +; - RAM:inst1|memory[103][12] ; 0 ; 6 ; +; - RAM:inst1|memory[99][12] ; 0 ; 6 ; +; - RAM:inst1|memory[111][12] ; 0 ; 6 ; +; - RAM:inst1|memory[39][12] ; 0 ; 6 ; +; - RAM:inst1|memory[43][12] ; 0 ; 6 ; +; - RAM:inst1|memory[35][12] ; 0 ; 6 ; +; - RAM:inst1|memory[47][12] ; 0 ; 6 ; +; - RAM:inst1|memory[235][12] ; 0 ; 6 ; +; - RAM:inst1|memory[227][12] ; 0 ; 6 ; +; - RAM:inst1|memory[239][12] ; 0 ; 6 ; +; - RAM:inst1|memory[101][4] ; 0 ; 6 ; +; - RAM:inst1|memory[37][4] ; 0 ; 6 ; +; - RAM:inst1|memory[41][4] ; 0 ; 6 ; +; - RAM:inst1|memory[233][4] ; 0 ; 6 ; +; - RAM:inst1|memory[97][4] ; 0 ; 6 ; +; - RAM:inst1|memory[33][4] ; 0 ; 6 ; +; - RAM:inst1|memory[225][4] ; 0 ; 6 ; +; - RAM:inst1|memory[109][4] ; 0 ; 6 ; +; - RAM:inst1|memory[173][4] ; 0 ; 6 ; +; - RAM:inst1|memory[45][4] ; 0 ; 6 ; +; - RAM:inst1|memory[237][4] ; 0 ; 6 ; +; - RAM:inst1|memory[106][4] ; 0 ; 6 ; +; - RAM:inst1|memory[42][4] ; 0 ; 6 ; +; - RAM:inst1|memory[234][4] ; 0 ; 6 ; +; - RAM:inst1|memory[102][4] ; 0 ; 6 ; +; - RAM:inst1|memory[166][4] ; 0 ; 6 ; +; - RAM:inst1|memory[38][4] ; 0 ; 6 ; +; - RAM:inst1|memory[230][4] ; 0 ; 6 ; +; - RAM:inst1|memory[98][4] ; 0 ; 6 ; +; - RAM:inst1|memory[34][4] ; 0 ; 6 ; +; - RAM:inst1|memory[226][4] ; 0 ; 6 ; +; - RAM:inst1|memory[110][4] ; 0 ; 6 ; +; - RAM:inst1|memory[46][4] ; 0 ; 6 ; +; - RAM:inst1|memory[238][4] ; 0 ; 6 ; +; - RAM:inst1|memory[168][4] ; 0 ; 6 ; +; - RAM:inst1|memory[104][4] ; 0 ; 6 ; +; - RAM:inst1|memory[40][4] ; 0 ; 6 ; +; - RAM:inst1|memory[232][4] ; 0 ; 6 ; +; - RAM:inst1|memory[36][4] ; 0 ; 6 ; +; - RAM:inst1|memory[228][4] ; 0 ; 6 ; +; - RAM:inst1|memory[160][4] ; 0 ; 6 ; +; - RAM:inst1|memory[96][4] ; 0 ; 6 ; +; - RAM:inst1|memory[32][4] ; 0 ; 6 ; +; - RAM:inst1|memory[224][4] ; 0 ; 6 ; +; - RAM:inst1|memory[108][4] ; 0 ; 6 ; +; - RAM:inst1|memory[172][4] ; 0 ; 6 ; +; - RAM:inst1|memory[44][4] ; 0 ; 6 ; +; - RAM:inst1|memory[236][4] ; 0 ; 6 ; +; - RAM:inst1|memory[167][4] ; 0 ; 6 ; +; - RAM:inst1|memory[171][4] ; 0 ; 6 ; +; - RAM:inst1|memory[163][4] ; 0 ; 6 ; +; - RAM:inst1|memory[175][4] ; 0 ; 6 ; +; - RAM:inst1|memory[107][4] ; 0 ; 6 ; +; - RAM:inst1|memory[103][4] ; 0 ; 6 ; +; - RAM:inst1|memory[99][4] ; 0 ; 6 ; +; - RAM:inst1|memory[111][4] ; 0 ; 6 ; +; - RAM:inst1|memory[43][4] ; 0 ; 6 ; +; - RAM:inst1|memory[35][4] ; 0 ; 6 ; +; - RAM:inst1|memory[47][4] ; 0 ; 6 ; +; - RAM:inst1|memory[235][4] ; 0 ; 6 ; +; - RAM:inst1|memory[231][4] ; 0 ; 6 ; +; - RAM:inst1|memory[227][4] ; 0 ; 6 ; +; - RAM:inst1|memory[239][4] ; 0 ; 6 ; +; - RAM:inst1|memory[105][28] ; 0 ; 6 ; +; - RAM:inst1|memory[169][28] ; 0 ; 6 ; +; - RAM:inst1|memory[41][28] ; 0 ; 6 ; +; - RAM:inst1|memory[233][28] ; 0 ; 6 ; +; - RAM:inst1|memory[101][28] ; 0 ; 6 ; +; - RAM:inst1|memory[37][28] ; 0 ; 6 ; +; - RAM:inst1|memory[229][28] ; 0 ; 6 ; +; - RAM:inst1|memory[33][28] ; 0 ; 6 ; +; - RAM:inst1|memory[225][28] ; 0 ; 6 ; +; - RAM:inst1|memory[173][28] ; 0 ; 6 ; +; - RAM:inst1|memory[109][28] ; 0 ; 6 ; +; - RAM:inst1|memory[45][28] ; 0 ; 6 ; +; - RAM:inst1|memory[237][28] ; 0 ; 6 ; +; - RAM:inst1|memory[98][28] ; 0 ; 6 ; +; - RAM:inst1|memory[110][28] ; 0 ; 6 ; +; - RAM:inst1|memory[170][28] ; 0 ; 6 ; +; - RAM:inst1|memory[162][28] ; 0 ; 6 ; +; - RAM:inst1|memory[174][28] ; 0 ; 6 ; +; - RAM:inst1|memory[38][28] ; 0 ; 6 ; +; - RAM:inst1|memory[34][28] ; 0 ; 6 ; +; - RAM:inst1|memory[46][28] ; 0 ; 6 ; +; - RAM:inst1|memory[226][28] ; 0 ; 6 ; +; - RAM:inst1|memory[238][28] ; 0 ; 6 ; +; - RAM:inst1|memory[164][28] ; 0 ; 6 ; +; - RAM:inst1|memory[100][28] ; 0 ; 6 ; +; - RAM:inst1|memory[36][28] ; 0 ; 6 ; +; - RAM:inst1|memory[228][28] ; 0 ; 6 ; +; - RAM:inst1|memory[104][28] ; 0 ; 6 ; +; - RAM:inst1|memory[168][28] ; 0 ; 6 ; +; - RAM:inst1|memory[40][28] ; 0 ; 6 ; +; - RAM:inst1|memory[232][28] ; 0 ; 6 ; +; - RAM:inst1|memory[96][28] ; 0 ; 6 ; +; - RAM:inst1|memory[160][28] ; 0 ; 6 ; +; - RAM:inst1|memory[32][28] ; 0 ; 6 ; +; - RAM:inst1|memory[224][28] ; 0 ; 6 ; +; - RAM:inst1|memory[172][28] ; 0 ; 6 ; +; - RAM:inst1|memory[44][28] ; 0 ; 6 ; +; - RAM:inst1|memory[236][28] ; 0 ; 6 ; +; - RAM:inst1|memory[167][28] ; 0 ; 6 ; +; - RAM:inst1|memory[39][28] ; 0 ; 6 ; +; - RAM:inst1|memory[231][28] ; 0 ; 6 ; +; - RAM:inst1|memory[171][28] ; 0 ; 6 ; +; - RAM:inst1|memory[107][28] ; 0 ; 6 ; +; - RAM:inst1|memory[43][28] ; 0 ; 6 ; +; - RAM:inst1|memory[235][28] ; 0 ; 6 ; +; - RAM:inst1|memory[163][28] ; 0 ; 6 ; +; - RAM:inst1|memory[35][28] ; 0 ; 6 ; +; - RAM:inst1|memory[227][28] ; 0 ; 6 ; +; - RAM:inst1|memory[175][28] ; 0 ; 6 ; +; - RAM:inst1|memory[47][28] ; 0 ; 6 ; +; - RAM:inst1|memory[239][28] ; 0 ; 6 ; +; - RAM:inst1|memory[153][12] ; 0 ; 6 ; +; - RAM:inst1|memory[145][12] ; 0 ; 6 ; +; - RAM:inst1|memory[149][20] ; 0 ; 6 ; +; - RAM:inst1|memory[153][20] ; 0 ; 6 ; +; - RAM:inst1|memory[145][20] ; 0 ; 6 ; +; - RAM:inst1|memory[157][20] ; 0 ; 6 ; +; - RAM:inst1|memory[145][4] ; 0 ; 6 ; +; - RAM:inst1|memory[157][4] ; 0 ; 6 ; +; - RAM:inst1|memory[149][28] ; 0 ; 6 ; +; - RAM:inst1|memory[145][28] ; 0 ; 6 ; +; - RAM:inst1|memory[157][28] ; 0 ; 6 ; +; - RAM:inst1|memory[150][4] ; 0 ; 6 ; +; - RAM:inst1|memory[150][28] ; 0 ; 6 ; +; - RAM:inst1|memory[154][20] ; 0 ; 6 ; +; - RAM:inst1|memory[154][4] ; 0 ; 6 ; +; - RAM:inst1|memory[154][28] ; 0 ; 6 ; +; - RAM:inst1|memory[146][4] ; 0 ; 6 ; +; - RAM:inst1|memory[146][28] ; 0 ; 6 ; +; - RAM:inst1|memory[158][20] ; 0 ; 6 ; +; - RAM:inst1|memory[158][4] ; 0 ; 6 ; +; - RAM:inst1|memory[158][28] ; 0 ; 6 ; +; - RAM:inst1|memory[148][20] ; 0 ; 6 ; +; - RAM:inst1|memory[152][20] ; 0 ; 6 ; +; - RAM:inst1|memory[144][20] ; 0 ; 6 ; +; - RAM:inst1|memory[156][20] ; 0 ; 6 ; +; - RAM:inst1|memory[148][12] ; 0 ; 6 ; +; - RAM:inst1|memory[144][12] ; 0 ; 6 ; +; - RAM:inst1|memory[156][12] ; 0 ; 6 ; +; - RAM:inst1|memory[148][4] ; 0 ; 6 ; +; - RAM:inst1|memory[144][4] ; 0 ; 6 ; +; - RAM:inst1|memory[156][4] ; 0 ; 6 ; +; - RAM:inst1|memory[152][28] ; 0 ; 6 ; +; - RAM:inst1|memory[148][28] ; 0 ; 6 ; +; - RAM:inst1|memory[144][28] ; 0 ; 6 ; +; - RAM:inst1|memory[156][28] ; 0 ; 6 ; +; - RAM:inst1|memory[155][20] ; 0 ; 6 ; +; - RAM:inst1|memory[147][20] ; 0 ; 6 ; +; - RAM:inst1|memory[159][20] ; 0 ; 6 ; +; - RAM:inst1|memory[155][12] ; 0 ; 6 ; +; - RAM:inst1|memory[147][12] ; 0 ; 6 ; +; - RAM:inst1|memory[159][12] ; 0 ; 6 ; +; - RAM:inst1|memory[151][4] ; 0 ; 6 ; +; - RAM:inst1|memory[147][4] ; 0 ; 6 ; +; - RAM:inst1|memory[159][4] ; 0 ; 6 ; +; - RAM:inst1|memory[155][28] ; 0 ; 6 ; +; - RAM:inst1|memory[147][28] ; 0 ; 6 ; +; - RAM:inst1|memory[159][28] ; 0 ; 6 ; +; - RAM:inst1|memory[86][20] ; 0 ; 6 ; +; - RAM:inst1|memory[90][20] ; 0 ; 6 ; +; - RAM:inst1|memory[82][20] ; 0 ; 6 ; +; - RAM:inst1|memory[94][20] ; 0 ; 6 ; +; - RAM:inst1|memory[90][12] ; 0 ; 6 ; +; - RAM:inst1|memory[86][12] ; 0 ; 6 ; +; - RAM:inst1|memory[82][12] ; 0 ; 6 ; +; - RAM:inst1|memory[94][12] ; 0 ; 6 ; +; - RAM:inst1|memory[86][4] ; 0 ; 6 ; +; - RAM:inst1|memory[90][4] ; 0 ; 6 ; +; - RAM:inst1|memory[82][4] ; 0 ; 6 ; +; - RAM:inst1|memory[94][4] ; 0 ; 6 ; +; - RAM:inst1|memory[90][28] ; 0 ; 6 ; +; - RAM:inst1|memory[86][28] ; 0 ; 6 ; +; - RAM:inst1|memory[82][28] ; 0 ; 6 ; +; - RAM:inst1|memory[94][28] ; 0 ; 6 ; +; - RAM:inst1|memory[89][12] ; 0 ; 6 ; +; - RAM:inst1|memory[85][12] ; 0 ; 6 ; +; - RAM:inst1|memory[81][12] ; 0 ; 6 ; +; - RAM:inst1|memory[93][12] ; 0 ; 6 ; +; - RAM:inst1|memory[89][20] ; 0 ; 6 ; +; - RAM:inst1|memory[81][20] ; 0 ; 6 ; +; - RAM:inst1|memory[93][20] ; 0 ; 6 ; +; - RAM:inst1|memory[89][4] ; 0 ; 6 ; +; - RAM:inst1|memory[81][4] ; 0 ; 6 ; +; - RAM:inst1|memory[93][4] ; 0 ; 6 ; +; - RAM:inst1|memory[89][28] ; 0 ; 6 ; +; - RAM:inst1|memory[85][28] ; 0 ; 6 ; +; - RAM:inst1|memory[81][28] ; 0 ; 6 ; +; - RAM:inst1|memory[93][28] ; 0 ; 6 ; +; - RAM:inst1|memory[84][20] ; 0 ; 6 ; +; - RAM:inst1|memory[88][20] ; 0 ; 6 ; +; - RAM:inst1|memory[80][20] ; 0 ; 6 ; +; - RAM:inst1|memory[92][20] ; 0 ; 6 ; +; - RAM:inst1|memory[88][12] ; 0 ; 6 ; +; - RAM:inst1|memory[84][12] ; 0 ; 6 ; +; - RAM:inst1|memory[80][12] ; 0 ; 6 ; +; - RAM:inst1|memory[92][12] ; 0 ; 6 ; +; - RAM:inst1|memory[84][4] ; 0 ; 6 ; +; - RAM:inst1|memory[88][4] ; 0 ; 6 ; +; - RAM:inst1|memory[80][4] ; 0 ; 6 ; +; - RAM:inst1|memory[92][4] ; 0 ; 6 ; +; - RAM:inst1|memory[84][28] ; 0 ; 6 ; +; - RAM:inst1|memory[80][28] ; 0 ; 6 ; +; - RAM:inst1|memory[92][28] ; 0 ; 6 ; +; - RAM:inst1|memory[83][12] ; 0 ; 6 ; +; - RAM:inst1|memory[95][12] ; 0 ; 6 ; +; - RAM:inst1|memory[87][20] ; 0 ; 6 ; +; - RAM:inst1|memory[91][20] ; 0 ; 6 ; +; - RAM:inst1|memory[83][20] ; 0 ; 6 ; +; - RAM:inst1|memory[95][20] ; 0 ; 6 ; +; - RAM:inst1|memory[87][4] ; 0 ; 6 ; +; - RAM:inst1|memory[91][4] ; 0 ; 6 ; +; - RAM:inst1|memory[83][4] ; 0 ; 6 ; +; - RAM:inst1|memory[95][4] ; 0 ; 6 ; +; - RAM:inst1|memory[91][28] ; 0 ; 6 ; +; - RAM:inst1|memory[83][28] ; 0 ; 6 ; +; - RAM:inst1|memory[95][28] ; 0 ; 6 ; +; - RAM:inst1|memory[25][12] ; 0 ; 6 ; +; - RAM:inst1|memory[21][12] ; 0 ; 6 ; +; - RAM:inst1|memory[17][12] ; 0 ; 6 ; +; - RAM:inst1|memory[29][12] ; 0 ; 6 ; +; - RAM:inst1|memory[21][20] ; 0 ; 6 ; +; - RAM:inst1|memory[25][20] ; 0 ; 6 ; +; - RAM:inst1|memory[17][20] ; 0 ; 6 ; +; - RAM:inst1|memory[29][20] ; 0 ; 6 ; +; - RAM:inst1|memory[25][4] ; 0 ; 6 ; +; - RAM:inst1|memory[17][4] ; 0 ; 6 ; +; - RAM:inst1|memory[29][4] ; 0 ; 6 ; +; - RAM:inst1|memory[21][28] ; 0 ; 6 ; +; - RAM:inst1|memory[17][28] ; 0 ; 6 ; +; - RAM:inst1|memory[29][28] ; 0 ; 6 ; +; - RAM:inst1|memory[26][20] ; 0 ; 6 ; +; - RAM:inst1|memory[18][20] ; 0 ; 6 ; +; - RAM:inst1|memory[30][20] ; 0 ; 6 ; +; - RAM:inst1|memory[26][12] ; 0 ; 6 ; +; - RAM:inst1|memory[22][12] ; 0 ; 6 ; +; - RAM:inst1|memory[18][12] ; 0 ; 6 ; +; - RAM:inst1|memory[30][12] ; 0 ; 6 ; +; - RAM:inst1|memory[22][4] ; 0 ; 6 ; +; - RAM:inst1|memory[26][4] ; 0 ; 6 ; +; - RAM:inst1|memory[18][4] ; 0 ; 6 ; +; - RAM:inst1|memory[30][4] ; 0 ; 6 ; +; - RAM:inst1|memory[26][28] ; 0 ; 6 ; +; - RAM:inst1|memory[22][28] ; 0 ; 6 ; +; - RAM:inst1|memory[18][28] ; 0 ; 6 ; +; - RAM:inst1|memory[30][28] ; 0 ; 6 ; +; - RAM:inst1|memory[24][20] ; 0 ; 6 ; +; - RAM:inst1|memory[16][20] ; 0 ; 6 ; +; - RAM:inst1|memory[28][20] ; 0 ; 6 ; +; - RAM:inst1|memory[24][12] ; 0 ; 6 ; +; - RAM:inst1|memory[20][12] ; 0 ; 6 ; +; - RAM:inst1|memory[16][12] ; 0 ; 6 ; +; - RAM:inst1|memory[28][12] ; 0 ; 6 ; +; - RAM:inst1|memory[20][4] ; 0 ; 6 ; +; - RAM:inst1|memory[24][4] ; 0 ; 6 ; +; - RAM:inst1|memory[16][4] ; 0 ; 6 ; +; - RAM:inst1|memory[28][4] ; 0 ; 6 ; +; - RAM:inst1|memory[16][28] ; 0 ; 6 ; +; - RAM:inst1|memory[28][28] ; 0 ; 6 ; +; - RAM:inst1|memory[19][12] ; 0 ; 6 ; +; - RAM:inst1|memory[31][12] ; 0 ; 6 ; +; - RAM:inst1|memory[27][20] ; 0 ; 6 ; +; - RAM:inst1|memory[19][20] ; 0 ; 6 ; +; - RAM:inst1|memory[31][20] ; 0 ; 6 ; +; - RAM:inst1|memory[27][4] ; 0 ; 6 ; +; - RAM:inst1|memory[19][4] ; 0 ; 6 ; +; - RAM:inst1|memory[31][4] ; 0 ; 6 ; +; - RAM:inst1|memory[27][28] ; 0 ; 6 ; +; - RAM:inst1|memory[19][28] ; 0 ; 6 ; +; - RAM:inst1|memory[31][28] ; 0 ; 6 ; +; - RAM:inst1|memory[214][12] ; 0 ; 6 ; +; - RAM:inst1|memory[214][4] ; 0 ; 6 ; +; - RAM:inst1|memory[214][28] ; 0 ; 6 ; +; - RAM:inst1|memory[218][20] ; 0 ; 6 ; +; - RAM:inst1|memory[218][12] ; 0 ; 6 ; +; - RAM:inst1|memory[218][4] ; 0 ; 6 ; +; - RAM:inst1|memory[218][28] ; 0 ; 6 ; +; - RAM:inst1|memory[210][20] ; 0 ; 6 ; +; - RAM:inst1|memory[210][12] ; 0 ; 6 ; +; - RAM:inst1|memory[210][4] ; 0 ; 6 ; +; - RAM:inst1|memory[210][28] ; 0 ; 6 ; +; - RAM:inst1|memory[222][12] ; 0 ; 6 ; +; - RAM:inst1|memory[222][4] ; 0 ; 6 ; +; - RAM:inst1|memory[222][28] ; 0 ; 6 ; +; - RAM:inst1|memory[217][12] ; 0 ; 6 ; +; - RAM:inst1|memory[213][12] ; 0 ; 6 ; +; - RAM:inst1|memory[209][12] ; 0 ; 6 ; +; - RAM:inst1|memory[221][12] ; 0 ; 6 ; +; - RAM:inst1|memory[209][20] ; 0 ; 6 ; +; - RAM:inst1|memory[221][20] ; 0 ; 6 ; +; - RAM:inst1|memory[213][4] ; 0 ; 6 ; +; - RAM:inst1|memory[209][4] ; 0 ; 6 ; +; - RAM:inst1|memory[221][4] ; 0 ; 6 ; +; - RAM:inst1|memory[209][28] ; 0 ; 6 ; +; - RAM:inst1|memory[221][28] ; 0 ; 6 ; +; - RAM:inst1|memory[216][12] ; 0 ; 6 ; +; - RAM:inst1|memory[216][4] ; 0 ; 6 ; +; - RAM:inst1|memory[216][28] ; 0 ; 6 ; +; - RAM:inst1|memory[212][20] ; 0 ; 6 ; +; - RAM:inst1|memory[212][12] ; 0 ; 6 ; +; - RAM:inst1|memory[212][4] ; 0 ; 6 ; +; - RAM:inst1|memory[212][28] ; 0 ; 6 ; +; - RAM:inst1|memory[208][20] ; 0 ; 6 ; +; - RAM:inst1|memory[208][4] ; 0 ; 6 ; +; - RAM:inst1|memory[208][28] ; 0 ; 6 ; +; - RAM:inst1|memory[220][20] ; 0 ; 6 ; +; - RAM:inst1|memory[220][12] ; 0 ; 6 ; +; - RAM:inst1|memory[220][4] ; 0 ; 6 ; +; - RAM:inst1|memory[220][28] ; 0 ; 6 ; +; - RAM:inst1|memory[215][20] ; 0 ; 6 ; +; - RAM:inst1|memory[211][20] ; 0 ; 6 ; +; - RAM:inst1|memory[223][20] ; 0 ; 6 ; +; - RAM:inst1|memory[211][12] ; 0 ; 6 ; +; - RAM:inst1|memory[223][12] ; 0 ; 6 ; +; - RAM:inst1|memory[219][4] ; 0 ; 6 ; +; - RAM:inst1|memory[215][4] ; 0 ; 6 ; +; - RAM:inst1|memory[211][4] ; 0 ; 6 ; +; - RAM:inst1|memory[223][4] ; 0 ; 6 ; +; - RAM:inst1|memory[219][28] ; 0 ; 6 ; +; - RAM:inst1|memory[211][28] ; 0 ; 6 ; +; - RAM:inst1|memory[223][28] ; 0 ; 6 ; +; - RAM:inst1|memory[73][12] ; 0 ; 6 ; +; - RAM:inst1|memory[69][12] ; 0 ; 6 ; +; - RAM:inst1|memory[65][12] ; 0 ; 6 ; +; - RAM:inst1|memory[77][12] ; 0 ; 6 ; +; - RAM:inst1|memory[74][12] ; 0 ; 6 ; +; - RAM:inst1|memory[66][12] ; 0 ; 6 ; +; - RAM:inst1|memory[78][12] ; 0 ; 6 ; +; - RAM:inst1|memory[72][12] ; 0 ; 6 ; +; - RAM:inst1|memory[64][12] ; 0 ; 6 ; +; - RAM:inst1|memory[76][12] ; 0 ; 6 ; +; - RAM:inst1|memory[75][12] ; 0 ; 6 ; +; - RAM:inst1|memory[71][12] ; 0 ; 6 ; +; - RAM:inst1|memory[67][12] ; 0 ; 6 ; +; - RAM:inst1|memory[79][12] ; 0 ; 6 ; +; - RAM:inst1|memory[138][12] ; 0 ; 6 ; +; - RAM:inst1|memory[130][12] ; 0 ; 6 ; +; - RAM:inst1|memory[142][12] ; 0 ; 6 ; +; - RAM:inst1|memory[137][12] ; 0 ; 6 ; +; - RAM:inst1|memory[133][12] ; 0 ; 6 ; +; - RAM:inst1|memory[129][12] ; 0 ; 6 ; +; - RAM:inst1|memory[141][12] ; 0 ; 6 ; +; - RAM:inst1|memory[132][12] ; 0 ; 6 ; +; - RAM:inst1|memory[128][12] ; 0 ; 6 ; +; - RAM:inst1|memory[140][12] ; 0 ; 6 ; +; - RAM:inst1|memory[139][12] ; 0 ; 6 ; +; - RAM:inst1|memory[131][12] ; 0 ; 6 ; +; - RAM:inst1|memory[143][12] ; 0 ; 6 ; +; - RAM:inst1|memory[6][12] ; 0 ; 6 ; +; - RAM:inst1|memory[10][12] ; 0 ; 6 ; +; - RAM:inst1|memory[2][12] ; 0 ; 6 ; +; - RAM:inst1|memory[14][12] ; 0 ; 6 ; +; - RAM:inst1|memory[9][12] ; 0 ; 6 ; +; - RAM:inst1|memory[5][12] ; 0 ; 6 ; +; - RAM:inst1|memory[1][12] ; 0 ; 6 ; +; - RAM:inst1|memory[13][12] ; 0 ; 6 ; +; - RAM:inst1|memory[4][12] ; 0 ; 6 ; +; - RAM:inst1|memory[8][12] ; 0 ; 6 ; +; - RAM:inst1|memory[0][12] ; 0 ; 6 ; +; - RAM:inst1|memory[12][12] ; 0 ; 6 ; +; - RAM:inst1|memory[11][12] ; 0 ; 6 ; +; - RAM:inst1|memory[3][12] ; 0 ; 6 ; +; - RAM:inst1|memory[15][12] ; 0 ; 6 ; +; - RAM:inst1|memory[201][12] ; 0 ; 6 ; +; - RAM:inst1|memory[197][12] ; 0 ; 6 ; +; - RAM:inst1|memory[193][12] ; 0 ; 6 ; +; - RAM:inst1|memory[205][12] ; 0 ; 6 ; +; - RAM:inst1|memory[198][12] ; 0 ; 6 ; +; - RAM:inst1|memory[202][12] ; 0 ; 6 ; +; - RAM:inst1|memory[194][12] ; 0 ; 6 ; +; - RAM:inst1|memory[206][12] ; 0 ; 6 ; +; - RAM:inst1|memory[196][12] ; 0 ; 6 ; +; - RAM:inst1|memory[192][12] ; 0 ; 6 ; +; - RAM:inst1|memory[204][12] ; 0 ; 6 ; +; - RAM:inst1|memory[195][12] ; 0 ; 6 ; +; - RAM:inst1|memory[207][12] ; 0 ; 6 ; +; - RAM:inst1|memory[134][20] ; 0 ; 6 ; +; - RAM:inst1|memory[130][20] ; 0 ; 6 ; +; - RAM:inst1|memory[142][20] ; 0 ; 6 ; +; - RAM:inst1|memory[66][20] ; 0 ; 6 ; +; - RAM:inst1|memory[78][20] ; 0 ; 6 ; +; - RAM:inst1|memory[10][20] ; 0 ; 6 ; +; - RAM:inst1|memory[2][20] ; 0 ; 6 ; +; - RAM:inst1|memory[14][20] ; 0 ; 6 ; +; - RAM:inst1|memory[198][20] ; 0 ; 6 ; +; - RAM:inst1|memory[194][20] ; 0 ; 6 ; +; - RAM:inst1|memory[206][20] ; 0 ; 6 ; +; - RAM:inst1|memory[65][20] ; 0 ; 6 ; +; - RAM:inst1|memory[77][20] ; 0 ; 6 ; +; - RAM:inst1|memory[133][20] ; 0 ; 6 ; +; - RAM:inst1|memory[137][20] ; 0 ; 6 ; +; - RAM:inst1|memory[129][20] ; 0 ; 6 ; +; - RAM:inst1|memory[141][20] ; 0 ; 6 ; +; - RAM:inst1|memory[9][20] ; 0 ; 6 ; +; - RAM:inst1|memory[1][20] ; 0 ; 6 ; +; - RAM:inst1|memory[13][20] ; 0 ; 6 ; +; - RAM:inst1|memory[197][20] ; 0 ; 6 ; +; - RAM:inst1|memory[193][20] ; 0 ; 6 ; +; - RAM:inst1|memory[205][20] ; 0 ; 6 ; +; - RAM:inst1|memory[132][20] ; 0 ; 6 ; +; - RAM:inst1|memory[128][20] ; 0 ; 6 ; +; - RAM:inst1|memory[140][20] ; 0 ; 6 ; +; - RAM:inst1|memory[72][20] ; 0 ; 6 ; +; - RAM:inst1|memory[68][20] ; 0 ; 6 ; +; - RAM:inst1|memory[64][20] ; 0 ; 6 ; +; - RAM:inst1|memory[76][20] ; 0 ; 6 ; +; - RAM:inst1|memory[4][20] ; 0 ; 6 ; +; - RAM:inst1|memory[0][20] ; 0 ; 6 ; +; - RAM:inst1|memory[12][20] ; 0 ; 6 ; +; - RAM:inst1|memory[192][20] ; 0 ; 6 ; +; - RAM:inst1|memory[204][20] ; 0 ; 6 ; +; - RAM:inst1|memory[71][20] ; 0 ; 6 ; +; - RAM:inst1|memory[67][20] ; 0 ; 6 ; +; - RAM:inst1|memory[79][20] ; 0 ; 6 ; +; - RAM:inst1|memory[131][20] ; 0 ; 6 ; +; - RAM:inst1|memory[143][20] ; 0 ; 6 ; +; - RAM:inst1|memory[7][20] ; 0 ; 6 ; +; - RAM:inst1|memory[11][20] ; 0 ; 6 ; +; - RAM:inst1|memory[3][20] ; 0 ; 6 ; +; - RAM:inst1|memory[15][20] ; 0 ; 6 ; +; - RAM:inst1|memory[199][20] ; 0 ; 6 ; +; - RAM:inst1|memory[195][20] ; 0 ; 6 ; +; - RAM:inst1|memory[207][20] ; 0 ; 6 ; +; - RAM:inst1|memory[73][4] ; 0 ; 6 ; +; - RAM:inst1|memory[69][4] ; 0 ; 6 ; +; - RAM:inst1|memory[65][4] ; 0 ; 6 ; +; - RAM:inst1|memory[77][4] ; 0 ; 6 ; +; - RAM:inst1|memory[137][4] ; 0 ; 6 ; +; - RAM:inst1|memory[129][4] ; 0 ; 6 ; +; - RAM:inst1|memory[141][4] ; 0 ; 6 ; +; - RAM:inst1|memory[5][4] ; 0 ; 6 ; +; - RAM:inst1|memory[1][4] ; 0 ; 6 ; +; - RAM:inst1|memory[13][4] ; 0 ; 6 ; +; - RAM:inst1|memory[201][4] ; 0 ; 6 ; +; - RAM:inst1|memory[197][4] ; 0 ; 6 ; +; - RAM:inst1|memory[193][4] ; 0 ; 6 ; +; - RAM:inst1|memory[205][4] ; 0 ; 6 ; +; - RAM:inst1|memory[134][4] ; 0 ; 6 ; +; - RAM:inst1|memory[130][4] ; 0 ; 6 ; +; - RAM:inst1|memory[142][4] ; 0 ; 6 ; +; - RAM:inst1|memory[66][4] ; 0 ; 6 ; +; - RAM:inst1|memory[78][4] ; 0 ; 6 ; +; - RAM:inst1|memory[6][4] ; 0 ; 6 ; +; - RAM:inst1|memory[10][4] ; 0 ; 6 ; +; - RAM:inst1|memory[2][4] ; 0 ; 6 ; +; - RAM:inst1|memory[14][4] ; 0 ; 6 ; +; - RAM:inst1|memory[198][4] ; 0 ; 6 ; +; - RAM:inst1|memory[194][4] ; 0 ; 6 ; +; - RAM:inst1|memory[206][4] ; 0 ; 6 ; +; - RAM:inst1|memory[132][4] ; 0 ; 6 ; +; - RAM:inst1|memory[136][4] ; 0 ; 6 ; +; - RAM:inst1|memory[128][4] ; 0 ; 6 ; +; - RAM:inst1|memory[140][4] ; 0 ; 6 ; +; - RAM:inst1|memory[68][4] ; 0 ; 6 ; +; - RAM:inst1|memory[64][4] ; 0 ; 6 ; +; - RAM:inst1|memory[76][4] ; 0 ; 6 ; +; - RAM:inst1|memory[4][4] ; 0 ; 6 ; +; - RAM:inst1|memory[0][4] ; 0 ; 6 ; +; - RAM:inst1|memory[12][4] ; 0 ; 6 ; +; - RAM:inst1|memory[200][4] ; 0 ; 6 ; +; - RAM:inst1|memory[192][4] ; 0 ; 6 ; +; - RAM:inst1|memory[204][4] ; 0 ; 6 ; +; - RAM:inst1|memory[75][4] ; 0 ; 6 ; +; - RAM:inst1|memory[71][4] ; 0 ; 6 ; +; - RAM:inst1|memory[67][4] ; 0 ; 6 ; +; - RAM:inst1|memory[79][4] ; 0 ; 6 ; +; - RAM:inst1|memory[131][4] ; 0 ; 6 ; +; - RAM:inst1|memory[143][4] ; 0 ; 6 ; +; - RAM:inst1|memory[11][4] ; 0 ; 6 ; +; - RAM:inst1|memory[3][4] ; 0 ; 6 ; +; - RAM:inst1|memory[15][4] ; 0 ; 6 ; +; - RAM:inst1|memory[203][4] ; 0 ; 6 ; +; - RAM:inst1|memory[195][4] ; 0 ; 6 ; +; - RAM:inst1|memory[207][4] ; 0 ; 6 ; +; - RAM:inst1|memory[138][28] ; 0 ; 6 ; +; - RAM:inst1|memory[130][28] ; 0 ; 6 ; +; - RAM:inst1|memory[142][28] ; 0 ; 6 ; +; - RAM:inst1|memory[133][28] ; 0 ; 6 ; +; - RAM:inst1|memory[129][28] ; 0 ; 6 ; +; - RAM:inst1|memory[141][28] ; 0 ; 6 ; +; - RAM:inst1|memory[132][28] ; 0 ; 6 ; +; - RAM:inst1|memory[136][28] ; 0 ; 6 ; +; - RAM:inst1|memory[128][28] ; 0 ; 6 ; +; - RAM:inst1|memory[140][28] ; 0 ; 6 ; +; - RAM:inst1|memory[135][28] ; 0 ; 6 ; +; - RAM:inst1|memory[131][28] ; 0 ; 6 ; +; - RAM:inst1|memory[143][28] ; 0 ; 6 ; +; - RAM:inst1|memory[69][28] ; 0 ; 6 ; +; - RAM:inst1|memory[65][28] ; 0 ; 6 ; +; - RAM:inst1|memory[77][28] ; 0 ; 6 ; +; - RAM:inst1|memory[70][28] ; 0 ; 6 ; +; - RAM:inst1|memory[74][28] ; 0 ; 6 ; +; - RAM:inst1|memory[66][28] ; 0 ; 6 ; +; - RAM:inst1|memory[78][28] ; 0 ; 6 ; +; - RAM:inst1|memory[72][28] ; 0 ; 6 ; +; - RAM:inst1|memory[64][28] ; 0 ; 6 ; +; - RAM:inst1|memory[76][28] ; 0 ; 6 ; +; - RAM:inst1|memory[75][28] ; 0 ; 6 ; +; - RAM:inst1|memory[67][28] ; 0 ; 6 ; +; - RAM:inst1|memory[79][28] ; 0 ; 6 ; +; - RAM:inst1|memory[10][28] ; 0 ; 6 ; +; - RAM:inst1|memory[2][28] ; 0 ; 6 ; +; - RAM:inst1|memory[14][28] ; 0 ; 6 ; +; - RAM:inst1|memory[9][28] ; 0 ; 6 ; +; - RAM:inst1|memory[5][28] ; 0 ; 6 ; +; - RAM:inst1|memory[1][28] ; 0 ; 6 ; +; - RAM:inst1|memory[13][28] ; 0 ; 6 ; +; - RAM:inst1|memory[4][28] ; 0 ; 6 ; +; - RAM:inst1|memory[0][28] ; 0 ; 6 ; +; - RAM:inst1|memory[12][28] ; 0 ; 6 ; +; - RAM:inst1|memory[11][28] ; 0 ; 6 ; +; - RAM:inst1|memory[7][28] ; 0 ; 6 ; +; - RAM:inst1|memory[3][28] ; 0 ; 6 ; +; - RAM:inst1|memory[15][28] ; 0 ; 6 ; +; - RAM:inst1|memory[197][28] ; 0 ; 6 ; +; - RAM:inst1|memory[193][28] ; 0 ; 6 ; +; - RAM:inst1|memory[205][28] ; 0 ; 6 ; +; - RAM:inst1|memory[198][28] ; 0 ; 6 ; +; - RAM:inst1|memory[202][28] ; 0 ; 6 ; +; - RAM:inst1|memory[194][28] ; 0 ; 6 ; +; - RAM:inst1|memory[206][28] ; 0 ; 6 ; +; - RAM:inst1|memory[196][28] ; 0 ; 6 ; +; - RAM:inst1|memory[200][28] ; 0 ; 6 ; +; - RAM:inst1|memory[192][28] ; 0 ; 6 ; +; - RAM:inst1|memory[204][28] ; 0 ; 6 ; +; - RAM:inst1|memory[199][28] ; 0 ; 6 ; +; - RAM:inst1|memory[195][28] ; 0 ; 6 ; +; - RAM:inst1|memory[207][28] ; 0 ; 6 ; +; - RAM:inst1|memory[117][20] ; 0 ; 6 ; +; - RAM:inst1|memory[117][12] ; 0 ; 6 ; +; - RAM:inst1|memory[117][4] ; 0 ; 6 ; +; - RAM:inst1|memory[117][28] ; 0 ; 6 ; +; - RAM:inst1|memory[121][20] ; 0 ; 6 ; +; - RAM:inst1|memory[121][4] ; 0 ; 6 ; +; - RAM:inst1|memory[121][28] ; 0 ; 6 ; +; - RAM:inst1|memory[113][12] ; 0 ; 6 ; +; - RAM:inst1|memory[113][4] ; 0 ; 6 ; +; - RAM:inst1|memory[113][28] ; 0 ; 6 ; +; - RAM:inst1|memory[125][20] ; 0 ; 6 ; +; - RAM:inst1|memory[125][12] ; 0 ; 6 ; +; - RAM:inst1|memory[125][4] ; 0 ; 6 ; +; - RAM:inst1|memory[125][28] ; 0 ; 6 ; +; - RAM:inst1|memory[122][20] ; 0 ; 6 ; +; - RAM:inst1|memory[122][4] ; 0 ; 6 ; +; - RAM:inst1|memory[122][28] ; 0 ; 6 ; +; - RAM:inst1|memory[118][12] ; 0 ; 6 ; +; - RAM:inst1|memory[118][4] ; 0 ; 6 ; +; - RAM:inst1|memory[118][28] ; 0 ; 6 ; +; - RAM:inst1|memory[114][20] ; 0 ; 6 ; +; - RAM:inst1|memory[114][4] ; 0 ; 6 ; +; - RAM:inst1|memory[114][28] ; 0 ; 6 ; +; - RAM:inst1|memory[126][20] ; 0 ; 6 ; +; - RAM:inst1|memory[126][12] ; 0 ; 6 ; +; - RAM:inst1|memory[126][4] ; 0 ; 6 ; +; - RAM:inst1|memory[126][28] ; 0 ; 6 ; +; - RAM:inst1|memory[120][12] ; 0 ; 6 ; +; - RAM:inst1|memory[120][4] ; 0 ; 6 ; +; - RAM:inst1|memory[120][28] ; 0 ; 6 ; +; - RAM:inst1|memory[116][20] ; 0 ; 6 ; +; - RAM:inst1|memory[116][12] ; 0 ; 6 ; +; - RAM:inst1|memory[116][4] ; 0 ; 6 ; +; - RAM:inst1|memory[116][28] ; 0 ; 6 ; +; - RAM:inst1|memory[112][20] ; 0 ; 6 ; +; - RAM:inst1|memory[112][4] ; 0 ; 6 ; +; - RAM:inst1|memory[112][28] ; 0 ; 6 ; +; - RAM:inst1|memory[124][20] ; 0 ; 6 ; +; - RAM:inst1|memory[124][12] ; 0 ; 6 ; +; - RAM:inst1|memory[124][4] ; 0 ; 6 ; +; - RAM:inst1|memory[124][28] ; 0 ; 6 ; +; - RAM:inst1|memory[119][20] ; 0 ; 6 ; +; - RAM:inst1|memory[119][4] ; 0 ; 6 ; +; - RAM:inst1|memory[119][28] ; 0 ; 6 ; +; - RAM:inst1|memory[123][20] ; 0 ; 6 ; +; - RAM:inst1|memory[123][4] ; 0 ; 6 ; +; - RAM:inst1|memory[123][28] ; 0 ; 6 ; +; - RAM:inst1|memory[115][4] ; 0 ; 6 ; +; - RAM:inst1|memory[115][28] ; 0 ; 6 ; +; - RAM:inst1|memory[127][20] ; 0 ; 6 ; +; - RAM:inst1|memory[127][12] ; 0 ; 6 ; +; - RAM:inst1|memory[127][4] ; 0 ; 6 ; +; - RAM:inst1|memory[127][28] ; 0 ; 6 ; +; - RAM:inst1|memory[186][4] ; 0 ; 6 ; +; - RAM:inst1|memory[186][28] ; 0 ; 6 ; +; - RAM:inst1|memory[182][20] ; 0 ; 6 ; +; - RAM:inst1|memory[182][4] ; 0 ; 6 ; +; - RAM:inst1|memory[182][28] ; 0 ; 6 ; +; - RAM:inst1|memory[178][20] ; 0 ; 6 ; +; - RAM:inst1|memory[178][4] ; 0 ; 6 ; +; - RAM:inst1|memory[178][28] ; 0 ; 6 ; +; - RAM:inst1|memory[190][4] ; 0 ; 6 ; +; - RAM:inst1|memory[190][28] ; 0 ; 6 ; +; - RAM:inst1|memory[181][4] ; 0 ; 6 ; +; - RAM:inst1|memory[181][28] ; 0 ; 6 ; +; - RAM:inst1|memory[185][12] ; 0 ; 6 ; +; - RAM:inst1|memory[185][20] ; 0 ; 6 ; +; - RAM:inst1|memory[185][4] ; 0 ; 6 ; +; - RAM:inst1|memory[185][28] ; 0 ; 6 ; +; - RAM:inst1|memory[177][20] ; 0 ; 6 ; +; - RAM:inst1|memory[177][4] ; 0 ; 6 ; +; - RAM:inst1|memory[177][28] ; 0 ; 6 ; +; - RAM:inst1|memory[189][4] ; 0 ; 6 ; +; - RAM:inst1|memory[189][28] ; 0 ; 6 ; +; - RAM:inst1|memory[184][12] ; 0 ; 6 ; +; - RAM:inst1|memory[184][4] ; 0 ; 6 ; +; - RAM:inst1|memory[184][28] ; 0 ; 6 ; +; - RAM:inst1|memory[180][20] ; 0 ; 6 ; +; - RAM:inst1|memory[180][12] ; 0 ; 6 ; +; - RAM:inst1|memory[180][4] ; 0 ; 6 ; +; - RAM:inst1|memory[180][28] ; 0 ; 6 ; +; - RAM:inst1|memory[176][20] ; 0 ; 6 ; +; - RAM:inst1|memory[176][4] ; 0 ; 6 ; +; - RAM:inst1|memory[176][28] ; 0 ; 6 ; +; - RAM:inst1|memory[188][20] ; 0 ; 6 ; +; - RAM:inst1|memory[188][12] ; 0 ; 6 ; +; - RAM:inst1|memory[188][4] ; 0 ; 6 ; +; - RAM:inst1|memory[188][28] ; 0 ; 6 ; +; - RAM:inst1|memory[179][12] ; 0 ; 6 ; +; - RAM:inst1|memory[191][12] ; 0 ; 6 ; +; - RAM:inst1|memory[183][20] ; 0 ; 6 ; +; - RAM:inst1|memory[179][20] ; 0 ; 6 ; +; - RAM:inst1|memory[191][20] ; 0 ; 6 ; +; - RAM:inst1|memory[187][4] ; 0 ; 6 ; +; - RAM:inst1|memory[179][4] ; 0 ; 6 ; +; - RAM:inst1|memory[191][4] ; 0 ; 6 ; +; - RAM:inst1|memory[179][28] ; 0 ; 6 ; +; - RAM:inst1|memory[191][28] ; 0 ; 6 ; +; - RAM:inst1|memory[58][12] ; 0 ; 6 ; +; - RAM:inst1|memory[58][20] ; 0 ; 6 ; +; - RAM:inst1|memory[58][4] ; 0 ; 6 ; +; - RAM:inst1|memory[58][28] ; 0 ; 6 ; +; - RAM:inst1|memory[54][20] ; 0 ; 6 ; +; - RAM:inst1|memory[54][4] ; 0 ; 6 ; +; - RAM:inst1|memory[54][28] ; 0 ; 6 ; +; - RAM:inst1|memory[50][12] ; 0 ; 6 ; +; - RAM:inst1|memory[50][4] ; 0 ; 6 ; +; - RAM:inst1|memory[50][28] ; 0 ; 6 ; +; - RAM:inst1|memory[62][12] ; 0 ; 6 ; +; - RAM:inst1|memory[62][4] ; 0 ; 6 ; +; - RAM:inst1|memory[62][28] ; 0 ; 6 ; +; - RAM:inst1|memory[53][20] ; 0 ; 6 ; +; - RAM:inst1|memory[53][12] ; 0 ; 6 ; +; - RAM:inst1|memory[53][4] ; 0 ; 6 ; +; - RAM:inst1|memory[53][28] ; 0 ; 6 ; +; - RAM:inst1|memory[57][20] ; 0 ; 6 ; +; - RAM:inst1|memory[57][4] ; 0 ; 6 ; +; - RAM:inst1|memory[57][28] ; 0 ; 6 ; +; - RAM:inst1|memory[49][12] ; 0 ; 6 ; +; - RAM:inst1|memory[49][20] ; 0 ; 6 ; +; - RAM:inst1|memory[49][4] ; 0 ; 6 ; +; - RAM:inst1|memory[49][28] ; 0 ; 6 ; +; - RAM:inst1|memory[61][20] ; 0 ; 6 ; +; - RAM:inst1|memory[61][12] ; 0 ; 6 ; +; - RAM:inst1|memory[61][4] ; 0 ; 6 ; +; - RAM:inst1|memory[61][28] ; 0 ; 6 ; +; - RAM:inst1|memory[56][12] ; 0 ; 6 ; +; - RAM:inst1|memory[56][4] ; 0 ; 6 ; +; - RAM:inst1|memory[56][28] ; 0 ; 6 ; +; - RAM:inst1|memory[52][12] ; 0 ; 6 ; +; - RAM:inst1|memory[52][4] ; 0 ; 6 ; +; - RAM:inst1|memory[52][28] ; 0 ; 6 ; +; - RAM:inst1|memory[48][20] ; 0 ; 6 ; +; - RAM:inst1|memory[48][4] ; 0 ; 6 ; +; - RAM:inst1|memory[48][28] ; 0 ; 6 ; +; - RAM:inst1|memory[60][20] ; 0 ; 6 ; +; - RAM:inst1|memory[60][4] ; 0 ; 6 ; +; - RAM:inst1|memory[60][28] ; 0 ; 6 ; +; - RAM:inst1|memory[55][12] ; 0 ; 6 ; +; - RAM:inst1|memory[55][4] ; 0 ; 6 ; +; - RAM:inst1|memory[55][28] ; 0 ; 6 ; +; - RAM:inst1|memory[59][12] ; 0 ; 6 ; +; - RAM:inst1|memory[59][4] ; 0 ; 6 ; +; - RAM:inst1|memory[59][28] ; 0 ; 6 ; +; - RAM:inst1|memory[51][12] ; 0 ; 6 ; +; - RAM:inst1|memory[51][4] ; 0 ; 6 ; +; - RAM:inst1|memory[51][28] ; 0 ; 6 ; +; - RAM:inst1|memory[63][12] ; 0 ; 6 ; +; - RAM:inst1|memory[63][4] ; 0 ; 6 ; +; - RAM:inst1|memory[63][28] ; 0 ; 6 ; +; - RAM:inst1|memory[249][20] ; 0 ; 6 ; +; - RAM:inst1|memory[245][20] ; 0 ; 6 ; +; - RAM:inst1|memory[241][20] ; 0 ; 6 ; +; - RAM:inst1|memory[253][20] ; 0 ; 6 ; +; - RAM:inst1|memory[241][12] ; 0 ; 6 ; +; - RAM:inst1|memory[253][12] ; 0 ; 6 ; +; - RAM:inst1|memory[245][4] ; 0 ; 6 ; +; - RAM:inst1|memory[241][4] ; 0 ; 6 ; +; - RAM:inst1|memory[253][4] ; 0 ; 6 ; +; - RAM:inst1|memory[245][28] ; 0 ; 6 ; +; - RAM:inst1|memory[249][28] ; 0 ; 6 ; +; - RAM:inst1|memory[241][28] ; 0 ; 6 ; +; - RAM:inst1|memory[253][28] ; 0 ; 6 ; +; - RAM:inst1|memory[250][20] ; 0 ; 6 ; +; - RAM:inst1|memory[250][4] ; 0 ; 6 ; +; - RAM:inst1|memory[250][28] ; 0 ; 6 ; +; - RAM:inst1|memory[246][20] ; 0 ; 6 ; +; - RAM:inst1|memory[246][12] ; 0 ; 6 ; +; - RAM:inst1|memory[246][4] ; 0 ; 6 ; +; - RAM:inst1|memory[246][28] ; 0 ; 6 ; +; - RAM:inst1|memory[242][12] ; 0 ; 6 ; +; - RAM:inst1|memory[242][20] ; 0 ; 6 ; +; - RAM:inst1|memory[242][4] ; 0 ; 6 ; +; - RAM:inst1|memory[242][28] ; 0 ; 6 ; +; - RAM:inst1|memory[254][4] ; 0 ; 6 ; +; - RAM:inst1|memory[254][28] ; 0 ; 6 ; +; - RAM:inst1|memory[244][12] ; 0 ; 6 ; +; - RAM:inst1|memory[244][20] ; 0 ; 6 ; +; - RAM:inst1|memory[244][4] ; 0 ; 6 ; +; - RAM:inst1|memory[244][28] ; 0 ; 6 ; +; - RAM:inst1|memory[248][12] ; 0 ; 6 ; +; - RAM:inst1|memory[248][4] ; 0 ; 6 ; +; - RAM:inst1|memory[248][28] ; 0 ; 6 ; +; - RAM:inst1|memory[240][12] ; 0 ; 6 ; +; - RAM:inst1|memory[240][4] ; 0 ; 6 ; +; - RAM:inst1|memory[240][28] ; 0 ; 6 ; +; - RAM:inst1|memory[252][12] ; 0 ; 6 ; +; - RAM:inst1|memory[252][4] ; 0 ; 6 ; +; - RAM:inst1|memory[252][28] ; 0 ; 6 ; +; - RAM:inst1|memory[243][12] ; 0 ; 6 ; +; - RAM:inst1|memory[255][12] ; 0 ; 6 ; +; - RAM:inst1|memory[243][20] ; 0 ; 6 ; +; - RAM:inst1|memory[255][20] ; 0 ; 6 ; +; - RAM:inst1|memory[247][4] ; 0 ; 6 ; +; - RAM:inst1|memory[243][4] ; 0 ; 6 ; +; - RAM:inst1|memory[255][4] ; 0 ; 6 ; +; - RAM:inst1|memory[247][28] ; 0 ; 6 ; +; - RAM:inst1|memory[243][28] ; 0 ; 6 ; +; - RAM:inst1|memory[255][28] ; 0 ; 6 ; +; - RAM:inst1|memory[169][4]~feeder ; 0 ; 6 ; +; - RAM:inst1|memory[157][12]~feeder ; 0 ; 6 ; +; - RAM:inst1|memory[189][12]~feeder ; 0 ; 6 ; +; - RAM:inst1|memory[60][12]~feeder ; 0 ; 6 ; +; - RAM:inst1|memory[237][20]~feeder ; 0 ; 6 ; +; - RAM:inst1|memory[96][12]~feeder ; 0 ; 6 ; +; - RAM:inst1|memory[112][12]~feeder ; 0 ; 6 ; +; - RAM:inst1|memory[113][20]~feeder ; 0 ; 6 ; +; - RAM:inst1|memory[149][4]~feeder ; 0 ; 6 ; +; - RAM:inst1|memory[21][4]~feeder ; 0 ; 6 ; +; - RAM:inst1|memory[165][4]~feeder ; 0 ; 6 ; +; - RAM:inst1|memory[133][4]~feeder ; 0 ; 6 ; +; - RAM:inst1|memory[161][20]~feeder ; 0 ; 6 ; +; - RAM:inst1|memory[161][28]~feeder ; 0 ; 6 ; +; - RAM:inst1|memory[165][20]~feeder ; 0 ; 6 ; +; - RAM:inst1|memory[165][28]~feeder ; 0 ; 6 ; +; - RAM:inst1|memory[7][4]~feeder ; 0 ; 6 ; +; - RAM:inst1|memory[97][28]~feeder ; 0 ; 6 ; +; - RAM:inst1|memory[99][28]~feeder ; 0 ; 6 ; +; - RAM:inst1|memory[107][12]~feeder ; 0 ; 6 ; +; - RAM:inst1|memory[87][12]~feeder ; 0 ; 6 ; +; - RAM:inst1|memory[87][28]~feeder ; 0 ; 6 ; +; - RAM:inst1|memory[161][4]~feeder ; 0 ; 6 ; +; - RAM:inst1|memory[229][4]~feeder ; 0 ; 6 ; +; - RAM:inst1|memory[229][20]~feeder ; 0 ; 6 ; +; - RAM:inst1|memory[160][12]~feeder ; 0 ; 6 ; +; - RAM:inst1|memory[196][4]~feeder ; 0 ; 6 ; +; - RAM:inst1|memory[199][4]~feeder ; 0 ; 6 ; +; - RAM:inst1|memory[215][28]~feeder ; 0 ; 6 ; +; - RAM:inst1|memory[213][28]~feeder ; 0 ; 6 ; +; - RAM:inst1|memory[98][20]~feeder ; 0 ; 6 ; +; - RAM:inst1|memory[110][12]~feeder ; 0 ; 6 ; +; - RAM:inst1|memory[254][12]~feeder ; 0 ; 6 ; +; - RAM:inst1|memory[178][12]~feeder ; 0 ; 6 ; +; - RAM:inst1|memory[222][20]~feeder ; 0 ; 6 ; +; - RAM:inst1|memory[98][12]~feeder ; 0 ; 6 ; +; - RAM:inst1|memory[114][12]~feeder ; 0 ; 6 ; +; - RAM:inst1|memory[247][20]~feeder ; 0 ; 6 ; +; - RAM:inst1|memory[8][20]~feeder ; 0 ; 6 ; +; - RAM:inst1|memory[252][20]~feeder ; 0 ; 6 ; +; - RAM:inst1|memory[174][20]~feeder ; 0 ; 6 ; +; - RAM:inst1|memory[190][20]~feeder ; 0 ; 6 ; +; - RAM:inst1|memory[62][20]~feeder ; 0 ; 6 ; +; - RAM:inst1|memory[46][20]~feeder ; 0 ; 6 ; +; - RAM:inst1|memory[51][20]~feeder ; 0 ; 6 ; +; - RAM:inst1|memory[108][28]~feeder ; 0 ; 6 ; +; - RAM:inst1|memory[213][20]~feeder ; 0 ; 6 ; +; - RAM:inst1|memory[203][20]~feeder ; 0 ; 6 ; +; - RAM:inst1|memory[22][20]~feeder ; 0 ; 6 ; +; - RAM:inst1|memory[254][20]~feeder ; 0 ; 6 ; +; - RAM:inst1|memory[238][20]~feeder ; 0 ; 6 ; +; - RAM:inst1|memory[134][12]~feeder ; 0 ; 6 ; +; - RAM:inst1|memory[134][28]~feeder ; 0 ; 6 ; +; - RAM:inst1|memory[52][20]~feeder ; 0 ; 6 ; +; - RAM:inst1|memory[183][12]~feeder ; 0 ; 6 ; +; - RAM:inst1|memory[247][12]~feeder ; 0 ; 6 ; +; - RAM:inst1|memory[135][20]~feeder ; 0 ; 6 ; +; - RAM:inst1|memory[151][20]~feeder ; 0 ; 6 ; +; - RAM:inst1|memory[55][20]~feeder ; 0 ; 6 ; +; - RAM:inst1|memory[162][12]~feeder ; 0 ; 6 ; +; - RAM:inst1|memory[39][4]~feeder ; 0 ; 6 ; +; - RAM:inst1|memory[183][28]~feeder ; 0 ; 6 ; +; - RAM:inst1|memory[151][28]~feeder ; 0 ; 6 ; +; - RAM:inst1|memory[68][28]~feeder ; 0 ; 6 ; +; - RAM:inst1|memory[135][12]~feeder ; 0 ; 6 ; +; - RAM:inst1|memory[215][12]~feeder ; 0 ; 6 ; +; - RAM:inst1|memory[199][12]~feeder ; 0 ; 6 ; +; - RAM:inst1|memory[100][4]~feeder ; 0 ; 6 ; +; - RAM:inst1|memory[135][4]~feeder ; 0 ; 6 ; +; - RAM:inst1|memory[164][4]~feeder ; 0 ; 6 ; +; - RAM:inst1|memory[68][12]~feeder ; 0 ; 6 ; +; - RAM:inst1|memory[100][12]~feeder ; 0 ; 6 ; +; - RAM:inst1|memory[119][12]~feeder ; 0 ; 6 ; +; - RAM:inst1|memory[203][12]~feeder ; 0 ; 6 ; +; - RAM:inst1|memory[231][12]~feeder ; 0 ; 6 ; +; - RAM:inst1|memory[229][12]~feeder ; 0 ; 6 ; +; - RAM:inst1|memory[174][4]~feeder ; 0 ; 6 ; +; - RAM:inst1|memory[70][12]~feeder ; 0 ; 6 ; +; - RAM:inst1|memory[70][4]~feeder ; 0 ; 6 ; +; - RAM:inst1|memory[158][12]~feeder ; 0 ; 6 ; +; - RAM:inst1|memory[190][12]~feeder ; 0 ; 6 ; +; - RAM:inst1|memory[196][20]~feeder ; 0 ; 6 ; +; - RAM:inst1|memory[230][28]~feeder ; 0 ; 6 ; +; - RAM:inst1|memory[102][28]~feeder ; 0 ; 6 ; +; - RAM:inst1|memory[70][20]~feeder ; 0 ; 6 ; +; - RAM:inst1|memory[118][20]~feeder ; 0 ; 6 ; +; - RAM:inst1|memory[150][20]~feeder ; 0 ; 6 ; +; - RAM:inst1|memory[214][20]~feeder ; 0 ; 6 ; +; - RAM:inst1|memory[166][28]~feeder ; 0 ; 6 ; +; - RAM:inst1|memory[6][28]~feeder ; 0 ; 6 ; +; - RAM:inst1|memory[102][20]~feeder ; 0 ; 6 ; +; - RAM:inst1|memory[6][20]~feeder ; 0 ; 6 ; +; - RAM:inst1|memory[20][20]~feeder ; 0 ; 6 ; +; - RAM:inst1|memory[20][28]~feeder ; 0 ; 6 ; +; - RAM:inst1|memory[182][12]~feeder ; 0 ; 6 ; +; - RAM:inst1|memory[54][12]~feeder ; 0 ; 6 ; +; - RAM:inst1|memory[150][12]~feeder ; 0 ; 6 ; +; - RAM:inst1|memory[139][20]~feeder ; 0 ; 6 ; +; - RAM:inst1|memory[139][28]~feeder ; 0 ; 6 ; +; - RAM:inst1|memory[187][28]~feeder ; 0 ; 6 ; +; - RAM:inst1|memory[251][28]~feeder ; 0 ; 6 ; +; - RAM:inst1|memory[234][28]~feeder ; 0 ; 6 ; +; - RAM:inst1|memory[27][12]~feeder ; 0 ; 6 ; +; - RAM:inst1|memory[162][4]~feeder ; 0 ; 6 ; +; - RAM:inst1|memory[59][20]~feeder ; 0 ; 6 ; +; - RAM:inst1|memory[63][20]~feeder ; 0 ; 6 ; +; - RAM:inst1|memory[176][12]~feeder ; 0 ; 6 ; +; - RAM:inst1|memory[48][12]~feeder ; 0 ; 6 ; +; - RAM:inst1|memory[33][20]~feeder ; 0 ; 6 ; +; - RAM:inst1|memory[23][28]~feeder ; 0 ; 6 ; +; - RAM:inst1|memory[23][20]~feeder ; 0 ; 6 ; +; - RAM:inst1|memory[181][12]~feeder ; 0 ; 6 ; +; - RAM:inst1|memory[245][12]~feeder ; 0 ; 6 ; +; - RAM:inst1|memory[183][4]~feeder ; 0 ; 6 ; +; - RAM:inst1|memory[149][12]~feeder ; 0 ; 6 ; +; - RAM:inst1|memory[151][12]~feeder ; 0 ; 6 ; +; - RAM:inst1|memory[23][12]~feeder ; 0 ; 6 ; +; - RAM:inst1|memory[7][12]~feeder ; 0 ; 6 ; +; - RAM:inst1|memory[71][28]~feeder ; 0 ; 6 ; +; - RAM:inst1|memory[103][28]~feeder ; 0 ; 6 ; +; - RAM:inst1|memory[111][28]~feeder ; 0 ; 6 ; +; - RAM:inst1|memory[23][4]~feeder ; 0 ; 6 ; +; - RAM:inst1|memory[8][28]~feeder ; 0 ; 6 ; +; - RAM:inst1|memory[203][28]~feeder ; 0 ; 6 ; +; - RAM:inst1|memory[137][28]~feeder ; 0 ; 6 ; +; - RAM:inst1|memory[153][28]~feeder ; 0 ; 6 ; +; - RAM:inst1|memory[249][12]~feeder ; 0 ; 6 ; +; - RAM:inst1|memory[251][4]~feeder ; 0 ; 6 ; +; - RAM:inst1|memory[249][4]~feeder ; 0 ; 6 ; +; - RAM:inst1|memory[121][12]~feeder ; 0 ; 6 ; +; - RAM:inst1|memory[57][12]~feeder ; 0 ; 6 ; +; - RAM:inst1|memory[200][12]~feeder ; 0 ; 6 ; +; - RAM:inst1|memory[136][12]~feeder ; 0 ; 6 ; +; - RAM:inst1|memory[9][4]~feeder ; 0 ; 6 ; +; - RAM:inst1|memory[25][28]~feeder ; 0 ; 6 ; +; - RAM:inst1|memory[72][4]~feeder ; 0 ; 6 ; +; - RAM:inst1|memory[8][4]~feeder ; 0 ; 6 ; +; - RAM:inst1|memory[153][4]~feeder ; 0 ; 6 ; +; - RAM:inst1|memory[217][4]~feeder ; 0 ; 6 ; +; - RAM:inst1|memory[91][12]~feeder ; 0 ; 6 ; +; - RAM:inst1|memory[240][20]~feeder ; 0 ; 6 ; +; - RAM:inst1|memory[219][12]~feeder ; 0 ; 6 ; +; - RAM:inst1|memory[248][20]~feeder ; 0 ; 6 ; +; - RAM:inst1|memory[120][20]~feeder ; 0 ; 6 ; +; - RAM:inst1|memory[155][4]~feeder ; 0 ; 6 ; +; - RAM:inst1|memory[139][4]~feeder ; 0 ; 6 ; +; - RAM:inst1|memory[217][20]~feeder ; 0 ; 6 ; +; - RAM:inst1|memory[217][28]~feeder ; 0 ; 6 ; +; - RAM:inst1|memory[187][12]~feeder ; 0 ; 6 ; +; - RAM:inst1|memory[138][4]~feeder ; 0 ; 6 ; +; - RAM:inst1|memory[138][20]~feeder ; 0 ; 6 ; +; - RAM:inst1|memory[42][20]~feeder ; 0 ; 6 ; +; - RAM:inst1|memory[186][12]~feeder ; 0 ; 6 ; +; - RAM:inst1|memory[219][20]~feeder ; 0 ; 6 ; +; - RAM:inst1|memory[88][28]~feeder ; 0 ; 6 ; +; - RAM:inst1|memory[85][20]~feeder ; 0 ; 6 ; +; - RAM:inst1|memory[170][4]~feeder ; 0 ; 6 ; +; - RAM:inst1|memory[154][12]~feeder ; 0 ; 6 ; +; - RAM:inst1|memory[146][12]~feeder ; 0 ; 6 ; +; - RAM:inst1|memory[146][20]~feeder ; 0 ; 6 ; +; - RAM:inst1|memory[208][12]~feeder ; 0 ; 6 ; +; - RAM:inst1|memory[186][20]~feeder ; 0 ; 6 ; +; - RAM:inst1|memory[50][20]~feeder ; 0 ; 6 ; +; - RAM:inst1|memory[216][20]~feeder ; 0 ; 6 ; +; - RAM:inst1|memory[152][12]~feeder ; 0 ; 6 ; +; - RAM:inst1|memory[187][20]~feeder ; 0 ; 6 ; +; - RAM:inst1|memory[42][28]~feeder ; 0 ; 6 ; +; - RAM:inst1|memory[24][28]~feeder ; 0 ; 6 ; +; - RAM:inst1|memory[184][20]~feeder ; 0 ; 6 ; +; - RAM:inst1|memory[56][20]~feeder ; 0 ; 6 ; +; - RAM:inst1|memory[250][12]~feeder ; 0 ; 6 ; +; - RAM:inst1|memory[251][12]~feeder ; 0 ; 6 ; +; - RAM:inst1|memory[74][20]~feeder ; 0 ; 6 ; +; - RAM:inst1|memory[202][20]~feeder ; 0 ; 6 ; +; - RAM:inst1|memory[122][12]~feeder ; 0 ; 6 ; +; - RAM:inst1|memory[136][20]~feeder ; 0 ; 6 ; +; - RAM:inst1|memory[200][20]~feeder ; 0 ; 6 ; +; - RAM:inst1|memory[251][20]~feeder ; 0 ; 6 ; +; - RAM:inst1|memory[152][4]~feeder ; 0 ; 6 ; +; - RAM:inst1|memory[106][28]~feeder ; 0 ; 6 ; +; - RAM:inst1|memory[74][4]~feeder ; 0 ; 6 ; +; - RAM:inst1|memory[202][4]~feeder ; 0 ; 6 ; +; - RAM:inst1|memory[169][12]~feeder ; 0 ; 6 ; +; - RAM:inst1|memory[73][28]~feeder ; 0 ; 6 ; +; - RAM:inst1|memory[73][20]~feeder ; 0 ; 6 ; +; - RAM:inst1|memory[5][20]~feeder ; 0 ; 6 ; +; - RAM:inst1|memory[41][12]~feeder ; 0 ; 6 ; +; - RAM:inst1|memory[105][4]~feeder ; 0 ; 6 ; +; - RAM:inst1|memory[105][12]~feeder ; 0 ; 6 ; +; - RAM:inst1|memory[201][20]~feeder ; 0 ; 6 ; +; - RAM:inst1|memory[201][28]~feeder ; 0 ; 6 ; +; - RAM:inst1|memory[189][20]~feeder ; 0 ; 6 ; +; - RAM:inst1|memory[181][20]~feeder ; 0 ; 6 ; +; - RAM:inst1|memory[69][20]~feeder ; 0 ; 6 ; +; - RAM:inst1|memory[110][20]~feeder ; 0 ; 6 ; +; - RAM:inst1|memory[85][4]~feeder ; 0 ; 6 ; +; - RAM:inst1|memory[75][20]~feeder ; 0 ; 6 ; +; - RAM:inst1|memory[115][20]~feeder ; 0 ; 6 ; +; - RAM:inst1|memory[123][12]~feeder ; 0 ; 6 ; +; - RAM:inst1|memory[115][12]~feeder ; 0 ; 6 ; +; - RAM:inst1|memory[177][12]~feeder ; 0 ; 6 ; +; Data[3] ; ; ; +; - RAM:inst1|memory[89][11] ; 0 ; 6 ; +; - RAM:inst1|memory[90][11] ; 0 ; 6 ; +; - RAM:inst1|memory[88][11] ; 0 ; 6 ; +; - RAM:inst1|memory[91][11] ; 0 ; 6 ; +; - RAM:inst1|memory[106][11] ; 0 ; 6 ; +; - RAM:inst1|memory[104][11] ; 0 ; 6 ; +; - RAM:inst1|memory[107][11] ; 0 ; 6 ; +; - RAM:inst1|memory[74][11] ; 0 ; 6 ; +; - RAM:inst1|memory[72][11] ; 0 ; 6 ; +; - RAM:inst1|memory[75][11] ; 0 ; 6 ; +; - RAM:inst1|memory[121][11] ; 0 ; 6 ; +; - RAM:inst1|memory[120][11] ; 0 ; 6 ; +; - RAM:inst1|memory[123][11] ; 0 ; 6 ; +; - RAM:inst1|memory[101][11] ; 0 ; 6 ; +; - RAM:inst1|memory[100][11] ; 0 ; 6 ; +; - RAM:inst1|memory[103][11] ; 0 ; 6 ; +; - RAM:inst1|memory[84][11] ; 0 ; 6 ; +; - RAM:inst1|memory[87][11] ; 0 ; 6 ; +; - RAM:inst1|memory[68][11] ; 0 ; 6 ; +; - RAM:inst1|memory[71][11] ; 0 ; 6 ; +; - RAM:inst1|memory[116][11] ; 0 ; 6 ; +; - RAM:inst1|memory[119][11] ; 0 ; 6 ; +; - RAM:inst1|memory[81][11] ; 0 ; 6 ; +; - RAM:inst1|memory[80][11] ; 0 ; 6 ; +; - RAM:inst1|memory[83][11] ; 0 ; 6 ; +; - RAM:inst1|memory[98][11] ; 0 ; 6 ; +; - RAM:inst1|memory[97][11] ; 0 ; 6 ; +; - RAM:inst1|memory[96][11] ; 0 ; 6 ; +; - RAM:inst1|memory[99][11] ; 0 ; 6 ; +; - RAM:inst1|memory[65][11] ; 0 ; 6 ; +; - RAM:inst1|memory[64][11] ; 0 ; 6 ; +; - RAM:inst1|memory[67][11] ; 0 ; 6 ; +; - RAM:inst1|memory[113][11] ; 0 ; 6 ; +; - RAM:inst1|memory[114][11] ; 0 ; 6 ; +; - RAM:inst1|memory[112][11] ; 0 ; 6 ; +; - RAM:inst1|memory[115][11] ; 0 ; 6 ; +; - RAM:inst1|memory[110][11] ; 0 ; 6 ; +; - RAM:inst1|memory[108][11] ; 0 ; 6 ; +; - RAM:inst1|memory[111][11] ; 0 ; 6 ; +; - RAM:inst1|memory[92][11] ; 0 ; 6 ; +; - RAM:inst1|memory[95][11] ; 0 ; 6 ; +; - RAM:inst1|memory[78][11] ; 0 ; 6 ; +; - RAM:inst1|memory[76][11] ; 0 ; 6 ; +; - RAM:inst1|memory[79][11] ; 0 ; 6 ; +; - RAM:inst1|memory[125][11] ; 0 ; 6 ; +; - RAM:inst1|memory[124][11] ; 0 ; 6 ; +; - RAM:inst1|memory[127][11] ; 0 ; 6 ; +; - RAM:inst1|memory[86][19] ; 0 ; 6 ; +; - RAM:inst1|memory[70][19] ; 0 ; 6 ; +; - RAM:inst1|memory[118][19] ; 0 ; 6 ; +; - RAM:inst1|memory[90][19] ; 0 ; 6 ; +; - RAM:inst1|memory[74][19] ; 0 ; 6 ; +; - RAM:inst1|memory[122][19] ; 0 ; 6 ; +; - RAM:inst1|memory[82][19] ; 0 ; 6 ; +; - RAM:inst1|memory[66][19] ; 0 ; 6 ; +; - RAM:inst1|memory[114][19] ; 0 ; 6 ; +; - RAM:inst1|memory[110][19] ; 0 ; 6 ; +; - RAM:inst1|memory[78][19] ; 0 ; 6 ; +; - RAM:inst1|memory[126][19] ; 0 ; 6 ; +; - RAM:inst1|memory[101][19] ; 0 ; 6 ; +; - RAM:inst1|memory[97][19] ; 0 ; 6 ; +; - RAM:inst1|memory[109][19] ; 0 ; 6 ; +; - RAM:inst1|memory[89][19] ; 0 ; 6 ; +; - RAM:inst1|memory[85][19] ; 0 ; 6 ; +; - RAM:inst1|memory[81][19] ; 0 ; 6 ; +; - RAM:inst1|memory[93][19] ; 0 ; 6 ; +; - RAM:inst1|memory[69][19] ; 0 ; 6 ; +; - RAM:inst1|memory[73][19] ; 0 ; 6 ; +; - RAM:inst1|memory[65][19] ; 0 ; 6 ; +; - RAM:inst1|memory[77][19] ; 0 ; 6 ; +; - RAM:inst1|memory[117][19] ; 0 ; 6 ; +; - RAM:inst1|memory[113][19] ; 0 ; 6 ; +; - RAM:inst1|memory[125][19] ; 0 ; 6 ; +; - RAM:inst1|memory[88][19] ; 0 ; 6 ; +; - RAM:inst1|memory[84][19] ; 0 ; 6 ; +; - RAM:inst1|memory[80][19] ; 0 ; 6 ; +; - RAM:inst1|memory[92][19] ; 0 ; 6 ; +; - RAM:inst1|memory[96][19] ; 0 ; 6 ; +; - RAM:inst1|memory[108][19] ; 0 ; 6 ; +; - RAM:inst1|memory[68][19] ; 0 ; 6 ; +; - RAM:inst1|memory[72][19] ; 0 ; 6 ; +; - RAM:inst1|memory[64][19] ; 0 ; 6 ; +; - RAM:inst1|memory[76][19] ; 0 ; 6 ; +; - RAM:inst1|memory[116][19] ; 0 ; 6 ; +; - RAM:inst1|memory[112][19] ; 0 ; 6 ; +; - RAM:inst1|memory[124][19] ; 0 ; 6 ; +; - RAM:inst1|memory[91][19] ; 0 ; 6 ; +; - RAM:inst1|memory[83][19] ; 0 ; 6 ; +; - RAM:inst1|memory[95][19] ; 0 ; 6 ; +; - RAM:inst1|memory[103][19] ; 0 ; 6 ; +; - RAM:inst1|memory[99][19] ; 0 ; 6 ; +; - RAM:inst1|memory[111][19] ; 0 ; 6 ; +; - RAM:inst1|memory[71][19] ; 0 ; 6 ; +; - RAM:inst1|memory[67][19] ; 0 ; 6 ; +; - RAM:inst1|memory[79][19] ; 0 ; 6 ; +; - RAM:inst1|memory[119][19] ; 0 ; 6 ; +; - RAM:inst1|memory[115][19] ; 0 ; 6 ; +; - RAM:inst1|memory[127][19] ; 0 ; 6 ; +; - RAM:inst1|memory[102][3] ; 0 ; 6 ; +; - RAM:inst1|memory[101][3] ; 0 ; 6 ; +; - RAM:inst1|memory[100][3] ; 0 ; 6 ; +; - RAM:inst1|memory[84][3] ; 0 ; 6 ; +; - RAM:inst1|memory[87][3] ; 0 ; 6 ; +; - RAM:inst1|memory[69][3] ; 0 ; 6 ; +; - RAM:inst1|memory[68][3] ; 0 ; 6 ; +; - RAM:inst1|memory[71][3] ; 0 ; 6 ; +; - RAM:inst1|memory[116][3] ; 0 ; 6 ; +; - RAM:inst1|memory[119][3] ; 0 ; 6 ; +; - RAM:inst1|memory[90][3] ; 0 ; 6 ; +; - RAM:inst1|memory[88][3] ; 0 ; 6 ; +; - RAM:inst1|memory[91][3] ; 0 ; 6 ; +; - RAM:inst1|memory[105][3] ; 0 ; 6 ; +; - RAM:inst1|memory[104][3] ; 0 ; 6 ; +; - RAM:inst1|memory[107][3] ; 0 ; 6 ; +; - RAM:inst1|memory[74][3] ; 0 ; 6 ; +; - RAM:inst1|memory[72][3] ; 0 ; 6 ; +; - RAM:inst1|memory[75][3] ; 0 ; 6 ; +; - RAM:inst1|memory[122][3] ; 0 ; 6 ; +; - RAM:inst1|memory[120][3] ; 0 ; 6 ; +; - RAM:inst1|memory[123][3] ; 0 ; 6 ; +; - RAM:inst1|memory[80][3] ; 0 ; 6 ; +; - RAM:inst1|memory[83][3] ; 0 ; 6 ; +; - RAM:inst1|memory[98][3] ; 0 ; 6 ; +; - RAM:inst1|memory[96][3] ; 0 ; 6 ; +; - RAM:inst1|memory[99][3] ; 0 ; 6 ; +; - RAM:inst1|memory[64][3] ; 0 ; 6 ; +; - RAM:inst1|memory[67][3] ; 0 ; 6 ; +; - RAM:inst1|memory[114][3] ; 0 ; 6 ; +; - RAM:inst1|memory[112][3] ; 0 ; 6 ; +; - RAM:inst1|memory[115][3] ; 0 ; 6 ; +; - RAM:inst1|memory[109][3] ; 0 ; 6 ; +; - RAM:inst1|memory[108][3] ; 0 ; 6 ; +; - RAM:inst1|memory[111][3] ; 0 ; 6 ; +; - RAM:inst1|memory[94][3] ; 0 ; 6 ; +; - RAM:inst1|memory[92][3] ; 0 ; 6 ; +; - RAM:inst1|memory[95][3] ; 0 ; 6 ; +; - RAM:inst1|memory[78][3] ; 0 ; 6 ; +; - RAM:inst1|memory[76][3] ; 0 ; 6 ; +; - RAM:inst1|memory[79][3] ; 0 ; 6 ; +; - RAM:inst1|memory[126][3] ; 0 ; 6 ; +; - RAM:inst1|memory[124][3] ; 0 ; 6 ; +; - RAM:inst1|memory[127][3] ; 0 ; 6 ; +; - RAM:inst1|memory[85][27] ; 0 ; 6 ; +; - RAM:inst1|memory[86][27] ; 0 ; 6 ; +; - RAM:inst1|memory[84][27] ; 0 ; 6 ; +; - RAM:inst1|memory[102][27] ; 0 ; 6 ; +; - RAM:inst1|memory[101][27] ; 0 ; 6 ; +; - RAM:inst1|memory[100][27] ; 0 ; 6 ; +; - RAM:inst1|memory[103][27] ; 0 ; 6 ; +; - RAM:inst1|memory[70][27] ; 0 ; 6 ; +; - RAM:inst1|memory[69][27] ; 0 ; 6 ; +; - RAM:inst1|memory[68][27] ; 0 ; 6 ; +; - RAM:inst1|memory[71][27] ; 0 ; 6 ; +; - RAM:inst1|memory[116][27] ; 0 ; 6 ; +; - RAM:inst1|memory[119][27] ; 0 ; 6 ; +; - RAM:inst1|memory[105][27] ; 0 ; 6 ; +; - RAM:inst1|memory[104][27] ; 0 ; 6 ; +; - RAM:inst1|memory[107][27] ; 0 ; 6 ; +; - RAM:inst1|memory[88][27] ; 0 ; 6 ; +; - RAM:inst1|memory[91][27] ; 0 ; 6 ; +; - RAM:inst1|memory[74][27] ; 0 ; 6 ; +; - RAM:inst1|memory[73][27] ; 0 ; 6 ; +; - RAM:inst1|memory[72][27] ; 0 ; 6 ; +; - RAM:inst1|memory[75][27] ; 0 ; 6 ; +; - RAM:inst1|memory[120][27] ; 0 ; 6 ; +; - RAM:inst1|memory[123][27] ; 0 ; 6 ; +; - RAM:inst1|memory[98][27] ; 0 ; 6 ; +; - RAM:inst1|memory[97][27] ; 0 ; 6 ; +; - RAM:inst1|memory[96][27] ; 0 ; 6 ; +; - RAM:inst1|memory[99][27] ; 0 ; 6 ; +; - RAM:inst1|memory[82][27] ; 0 ; 6 ; +; - RAM:inst1|memory[80][27] ; 0 ; 6 ; +; - RAM:inst1|memory[83][27] ; 0 ; 6 ; +; - RAM:inst1|memory[66][27] ; 0 ; 6 ; +; - RAM:inst1|memory[65][27] ; 0 ; 6 ; +; - RAM:inst1|memory[64][27] ; 0 ; 6 ; +; - RAM:inst1|memory[67][27] ; 0 ; 6 ; +; - RAM:inst1|memory[113][27] ; 0 ; 6 ; +; - RAM:inst1|memory[114][27] ; 0 ; 6 ; +; - RAM:inst1|memory[112][27] ; 0 ; 6 ; +; - RAM:inst1|memory[115][27] ; 0 ; 6 ; +; - RAM:inst1|memory[94][27] ; 0 ; 6 ; +; - RAM:inst1|memory[92][27] ; 0 ; 6 ; +; - RAM:inst1|memory[95][27] ; 0 ; 6 ; +; - RAM:inst1|memory[110][27] ; 0 ; 6 ; +; - RAM:inst1|memory[108][27] ; 0 ; 6 ; +; - RAM:inst1|memory[111][27] ; 0 ; 6 ; +; - RAM:inst1|memory[78][27] ; 0 ; 6 ; +; - RAM:inst1|memory[76][27] ; 0 ; 6 ; +; - RAM:inst1|memory[79][27] ; 0 ; 6 ; +; - RAM:inst1|memory[126][27] ; 0 ; 6 ; +; - RAM:inst1|memory[124][27] ; 0 ; 6 ; +; - RAM:inst1|memory[127][27] ; 0 ; 6 ; +; - RAM:inst1|memory[150][19] ; 0 ; 6 ; +; - RAM:inst1|memory[146][19] ; 0 ; 6 ; +; - RAM:inst1|memory[170][19] ; 0 ; 6 ; +; - RAM:inst1|memory[162][19] ; 0 ; 6 ; +; - RAM:inst1|memory[174][19] ; 0 ; 6 ; +; - RAM:inst1|memory[138][19] ; 0 ; 6 ; +; - RAM:inst1|memory[130][19] ; 0 ; 6 ; +; - RAM:inst1|memory[142][19] ; 0 ; 6 ; +; - RAM:inst1|memory[178][19] ; 0 ; 6 ; +; - RAM:inst1|memory[190][19] ; 0 ; 6 ; +; - RAM:inst1|memory[166][11] ; 0 ; 6 ; +; - RAM:inst1|memory[162][11] ; 0 ; 6 ; +; - RAM:inst1|memory[174][11] ; 0 ; 6 ; +; - RAM:inst1|memory[150][11] ; 0 ; 6 ; +; - RAM:inst1|memory[154][11] ; 0 ; 6 ; +; - RAM:inst1|memory[146][11] ; 0 ; 6 ; +; - RAM:inst1|memory[158][11] ; 0 ; 6 ; +; - RAM:inst1|memory[138][11] ; 0 ; 6 ; +; - RAM:inst1|memory[130][11] ; 0 ; 6 ; +; - RAM:inst1|memory[142][11] ; 0 ; 6 ; +; - RAM:inst1|memory[178][11] ; 0 ; 6 ; +; - RAM:inst1|memory[190][11] ; 0 ; 6 ; +; - RAM:inst1|memory[150][3] ; 0 ; 6 ; +; - RAM:inst1|memory[146][3] ; 0 ; 6 ; +; - RAM:inst1|memory[158][3] ; 0 ; 6 ; +; - RAM:inst1|memory[170][3] ; 0 ; 6 ; +; - RAM:inst1|memory[162][3] ; 0 ; 6 ; +; - RAM:inst1|memory[174][3] ; 0 ; 6 ; +; - RAM:inst1|memory[138][3] ; 0 ; 6 ; +; - RAM:inst1|memory[130][3] ; 0 ; 6 ; +; - RAM:inst1|memory[142][3] ; 0 ; 6 ; +; - RAM:inst1|memory[182][3] ; 0 ; 6 ; +; - RAM:inst1|memory[178][3] ; 0 ; 6 ; +; - RAM:inst1|memory[190][3] ; 0 ; 6 ; +; - RAM:inst1|memory[170][27] ; 0 ; 6 ; +; - RAM:inst1|memory[162][27] ; 0 ; 6 ; +; - RAM:inst1|memory[174][27] ; 0 ; 6 ; +; - RAM:inst1|memory[150][27] ; 0 ; 6 ; +; - RAM:inst1|memory[146][27] ; 0 ; 6 ; +; - RAM:inst1|memory[158][27] ; 0 ; 6 ; +; - RAM:inst1|memory[138][27] ; 0 ; 6 ; +; - RAM:inst1|memory[130][27] ; 0 ; 6 ; +; - RAM:inst1|memory[142][27] ; 0 ; 6 ; +; - RAM:inst1|memory[186][27] ; 0 ; 6 ; +; - RAM:inst1|memory[178][27] ; 0 ; 6 ; +; - RAM:inst1|memory[190][27] ; 0 ; 6 ; +; - RAM:inst1|memory[149][19] ; 0 ; 6 ; +; - RAM:inst1|memory[149][3] ; 0 ; 6 ; +; - RAM:inst1|memory[149][27] ; 0 ; 6 ; +; - RAM:inst1|memory[165][19] ; 0 ; 6 ; +; - RAM:inst1|memory[165][3] ; 0 ; 6 ; +; - RAM:inst1|memory[165][27] ; 0 ; 6 ; +; - RAM:inst1|memory[133][19] ; 0 ; 6 ; +; - RAM:inst1|memory[133][11] ; 0 ; 6 ; +; - RAM:inst1|memory[133][3] ; 0 ; 6 ; +; - RAM:inst1|memory[133][27] ; 0 ; 6 ; +; - RAM:inst1|memory[181][19] ; 0 ; 6 ; +; - RAM:inst1|memory[181][3] ; 0 ; 6 ; +; - RAM:inst1|memory[181][27] ; 0 ; 6 ; +; - RAM:inst1|memory[153][19] ; 0 ; 6 ; +; - RAM:inst1|memory[137][19] ; 0 ; 6 ; +; - RAM:inst1|memory[185][19] ; 0 ; 6 ; +; - RAM:inst1|memory[153][11] ; 0 ; 6 ; +; - RAM:inst1|memory[137][11] ; 0 ; 6 ; +; - RAM:inst1|memory[185][11] ; 0 ; 6 ; +; - RAM:inst1|memory[153][3] ; 0 ; 6 ; +; - RAM:inst1|memory[137][3] ; 0 ; 6 ; +; - RAM:inst1|memory[185][3] ; 0 ; 6 ; +; - RAM:inst1|memory[153][27] ; 0 ; 6 ; +; - RAM:inst1|memory[137][27] ; 0 ; 6 ; +; - RAM:inst1|memory[185][27] ; 0 ; 6 ; +; - RAM:inst1|memory[161][19] ; 0 ; 6 ; +; - RAM:inst1|memory[161][3] ; 0 ; 6 ; +; - RAM:inst1|memory[161][27] ; 0 ; 6 ; +; - RAM:inst1|memory[145][3] ; 0 ; 6 ; +; - RAM:inst1|memory[145][27] ; 0 ; 6 ; +; - RAM:inst1|memory[129][19] ; 0 ; 6 ; +; - RAM:inst1|memory[129][11] ; 0 ; 6 ; +; - RAM:inst1|memory[129][3] ; 0 ; 6 ; +; - RAM:inst1|memory[129][27] ; 0 ; 6 ; +; - RAM:inst1|memory[177][11] ; 0 ; 6 ; +; - RAM:inst1|memory[177][19] ; 0 ; 6 ; +; - RAM:inst1|memory[177][3] ; 0 ; 6 ; +; - RAM:inst1|memory[177][27] ; 0 ; 6 ; +; - RAM:inst1|memory[173][11] ; 0 ; 6 ; +; - RAM:inst1|memory[173][19] ; 0 ; 6 ; +; - RAM:inst1|memory[173][3] ; 0 ; 6 ; +; - RAM:inst1|memory[173][27] ; 0 ; 6 ; +; - RAM:inst1|memory[157][3] ; 0 ; 6 ; +; - RAM:inst1|memory[157][27] ; 0 ; 6 ; +; - RAM:inst1|memory[141][11] ; 0 ; 6 ; +; - RAM:inst1|memory[141][3] ; 0 ; 6 ; +; - RAM:inst1|memory[141][27] ; 0 ; 6 ; +; - RAM:inst1|memory[189][19] ; 0 ; 6 ; +; - RAM:inst1|memory[189][3] ; 0 ; 6 ; +; - RAM:inst1|memory[189][27] ; 0 ; 6 ; +; - RAM:inst1|memory[168][19] ; 0 ; 6 ; +; - RAM:inst1|memory[168][11] ; 0 ; 6 ; +; - RAM:inst1|memory[168][3] ; 0 ; 6 ; +; - RAM:inst1|memory[168][27] ; 0 ; 6 ; +; - RAM:inst1|memory[152][19] ; 0 ; 6 ; +; - RAM:inst1|memory[152][3] ; 0 ; 6 ; +; - RAM:inst1|memory[152][27] ; 0 ; 6 ; +; - RAM:inst1|memory[136][19] ; 0 ; 6 ; +; - RAM:inst1|memory[136][11] ; 0 ; 6 ; +; - RAM:inst1|memory[136][3] ; 0 ; 6 ; +; - RAM:inst1|memory[136][27] ; 0 ; 6 ; +; - RAM:inst1|memory[184][11] ; 0 ; 6 ; +; - RAM:inst1|memory[184][19] ; 0 ; 6 ; +; - RAM:inst1|memory[184][3] ; 0 ; 6 ; +; - RAM:inst1|memory[184][27] ; 0 ; 6 ; +; - RAM:inst1|memory[148][11] ; 0 ; 6 ; +; - RAM:inst1|memory[148][19] ; 0 ; 6 ; +; - RAM:inst1|memory[148][3] ; 0 ; 6 ; +; - RAM:inst1|memory[148][27] ; 0 ; 6 ; +; - RAM:inst1|memory[164][19] ; 0 ; 6 ; +; - RAM:inst1|memory[164][11] ; 0 ; 6 ; +; - RAM:inst1|memory[164][3] ; 0 ; 6 ; +; - RAM:inst1|memory[164][27] ; 0 ; 6 ; +; - RAM:inst1|memory[132][19] ; 0 ; 6 ; +; - RAM:inst1|memory[132][11] ; 0 ; 6 ; +; - RAM:inst1|memory[132][3] ; 0 ; 6 ; +; - RAM:inst1|memory[132][27] ; 0 ; 6 ; +; - RAM:inst1|memory[180][19] ; 0 ; 6 ; +; - RAM:inst1|memory[180][3] ; 0 ; 6 ; +; - RAM:inst1|memory[180][27] ; 0 ; 6 ; +; - RAM:inst1|memory[160][19] ; 0 ; 6 ; +; - RAM:inst1|memory[160][3] ; 0 ; 6 ; +; - RAM:inst1|memory[160][27] ; 0 ; 6 ; +; - RAM:inst1|memory[144][11] ; 0 ; 6 ; +; - RAM:inst1|memory[144][3] ; 0 ; 6 ; +; - RAM:inst1|memory[144][27] ; 0 ; 6 ; +; - RAM:inst1|memory[128][19] ; 0 ; 6 ; +; - RAM:inst1|memory[128][11] ; 0 ; 6 ; +; - RAM:inst1|memory[128][3] ; 0 ; 6 ; +; - RAM:inst1|memory[128][27] ; 0 ; 6 ; +; - RAM:inst1|memory[176][11] ; 0 ; 6 ; +; - RAM:inst1|memory[176][19] ; 0 ; 6 ; +; - RAM:inst1|memory[176][3] ; 0 ; 6 ; +; - RAM:inst1|memory[176][27] ; 0 ; 6 ; +; - RAM:inst1|memory[156][11] ; 0 ; 6 ; +; - RAM:inst1|memory[156][19] ; 0 ; 6 ; +; - RAM:inst1|memory[156][3] ; 0 ; 6 ; +; - RAM:inst1|memory[156][27] ; 0 ; 6 ; +; - RAM:inst1|memory[172][19] ; 0 ; 6 ; +; - RAM:inst1|memory[172][3] ; 0 ; 6 ; +; - RAM:inst1|memory[172][27] ; 0 ; 6 ; +; - RAM:inst1|memory[140][19] ; 0 ; 6 ; +; - RAM:inst1|memory[140][11] ; 0 ; 6 ; +; - RAM:inst1|memory[140][3] ; 0 ; 6 ; +; - RAM:inst1|memory[140][27] ; 0 ; 6 ; +; - RAM:inst1|memory[188][19] ; 0 ; 6 ; +; - RAM:inst1|memory[188][3] ; 0 ; 6 ; +; - RAM:inst1|memory[188][27] ; 0 ; 6 ; +; - RAM:inst1|memory[171][19] ; 0 ; 6 ; +; - RAM:inst1|memory[171][11] ; 0 ; 6 ; +; - RAM:inst1|memory[171][3] ; 0 ; 6 ; +; - RAM:inst1|memory[171][27] ; 0 ; 6 ; +; - RAM:inst1|memory[155][19] ; 0 ; 6 ; +; - RAM:inst1|memory[155][3] ; 0 ; 6 ; +; - RAM:inst1|memory[155][27] ; 0 ; 6 ; +; - RAM:inst1|memory[139][19] ; 0 ; 6 ; +; - RAM:inst1|memory[139][3] ; 0 ; 6 ; +; - RAM:inst1|memory[139][27] ; 0 ; 6 ; +; - RAM:inst1|memory[187][11] ; 0 ; 6 ; +; - RAM:inst1|memory[187][3] ; 0 ; 6 ; +; - RAM:inst1|memory[187][27] ; 0 ; 6 ; +; - RAM:inst1|memory[135][11] ; 0 ; 6 ; +; - RAM:inst1|memory[183][11] ; 0 ; 6 ; +; - RAM:inst1|memory[135][19] ; 0 ; 6 ; +; - RAM:inst1|memory[183][19] ; 0 ; 6 ; +; - RAM:inst1|memory[167][3] ; 0 ; 6 ; +; - RAM:inst1|memory[151][3] ; 0 ; 6 ; +; - RAM:inst1|memory[135][3] ; 0 ; 6 ; +; - RAM:inst1|memory[183][3] ; 0 ; 6 ; +; - RAM:inst1|memory[151][27] ; 0 ; 6 ; +; - RAM:inst1|memory[167][27] ; 0 ; 6 ; +; - RAM:inst1|memory[135][27] ; 0 ; 6 ; +; - RAM:inst1|memory[183][27] ; 0 ; 6 ; +; - RAM:inst1|memory[147][19] ; 0 ; 6 ; +; - RAM:inst1|memory[147][11] ; 0 ; 6 ; +; - RAM:inst1|memory[147][3] ; 0 ; 6 ; +; - RAM:inst1|memory[147][27] ; 0 ; 6 ; +; - RAM:inst1|memory[163][11] ; 0 ; 6 ; +; - RAM:inst1|memory[163][3] ; 0 ; 6 ; +; - RAM:inst1|memory[163][27] ; 0 ; 6 ; +; - RAM:inst1|memory[131][11] ; 0 ; 6 ; +; - RAM:inst1|memory[131][19] ; 0 ; 6 ; +; - RAM:inst1|memory[131][3] ; 0 ; 6 ; +; - RAM:inst1|memory[131][27] ; 0 ; 6 ; +; - RAM:inst1|memory[179][19] ; 0 ; 6 ; +; - RAM:inst1|memory[179][11] ; 0 ; 6 ; +; - RAM:inst1|memory[179][3] ; 0 ; 6 ; +; - RAM:inst1|memory[179][27] ; 0 ; 6 ; +; - RAM:inst1|memory[159][19] ; 0 ; 6 ; +; - RAM:inst1|memory[143][19] ; 0 ; 6 ; +; - RAM:inst1|memory[191][19] ; 0 ; 6 ; +; - RAM:inst1|memory[175][11] ; 0 ; 6 ; +; - RAM:inst1|memory[159][11] ; 0 ; 6 ; +; - RAM:inst1|memory[143][11] ; 0 ; 6 ; +; - RAM:inst1|memory[191][11] ; 0 ; 6 ; +; - RAM:inst1|memory[175][3] ; 0 ; 6 ; +; - RAM:inst1|memory[143][3] ; 0 ; 6 ; +; - RAM:inst1|memory[191][3] ; 0 ; 6 ; +; - RAM:inst1|memory[175][27] ; 0 ; 6 ; +; - RAM:inst1|memory[159][27] ; 0 ; 6 ; +; - RAM:inst1|memory[143][27] ; 0 ; 6 ; +; - RAM:inst1|memory[191][27] ; 0 ; 6 ; +; - RAM:inst1|memory[37][11] ; 0 ; 6 ; +; - RAM:inst1|memory[21][11] ; 0 ; 6 ; +; - RAM:inst1|memory[5][11] ; 0 ; 6 ; +; - RAM:inst1|memory[37][19] ; 0 ; 6 ; +; - RAM:inst1|memory[5][19] ; 0 ; 6 ; +; - RAM:inst1|memory[53][19] ; 0 ; 6 ; +; - RAM:inst1|memory[21][3] ; 0 ; 6 ; +; - RAM:inst1|memory[37][3] ; 0 ; 6 ; +; - RAM:inst1|memory[5][3] ; 0 ; 6 ; +; - RAM:inst1|memory[53][3] ; 0 ; 6 ; +; - RAM:inst1|memory[37][27] ; 0 ; 6 ; +; - RAM:inst1|memory[5][27] ; 0 ; 6 ; +; - RAM:inst1|memory[53][27] ; 0 ; 6 ; +; - RAM:inst1|memory[41][19] ; 0 ; 6 ; +; - RAM:inst1|memory[9][19] ; 0 ; 6 ; +; - RAM:inst1|memory[57][19] ; 0 ; 6 ; +; - RAM:inst1|memory[25][11] ; 0 ; 6 ; +; - RAM:inst1|memory[9][11] ; 0 ; 6 ; +; - RAM:inst1|memory[57][11] ; 0 ; 6 ; +; - RAM:inst1|memory[9][3] ; 0 ; 6 ; +; - RAM:inst1|memory[57][3] ; 0 ; 6 ; +; - RAM:inst1|memory[9][27] ; 0 ; 6 ; +; - RAM:inst1|memory[57][27] ; 0 ; 6 ; +; - RAM:inst1|memory[33][19] ; 0 ; 6 ; +; - RAM:inst1|memory[1][19] ; 0 ; 6 ; +; - RAM:inst1|memory[49][19] ; 0 ; 6 ; +; - RAM:inst1|memory[1][11] ; 0 ; 6 ; +; - RAM:inst1|memory[49][11] ; 0 ; 6 ; +; - RAM:inst1|memory[1][3] ; 0 ; 6 ; +; - RAM:inst1|memory[49][3] ; 0 ; 6 ; +; - RAM:inst1|memory[33][27] ; 0 ; 6 ; +; - RAM:inst1|memory[1][27] ; 0 ; 6 ; +; - RAM:inst1|memory[49][27] ; 0 ; 6 ; +; - RAM:inst1|memory[45][11] ; 0 ; 6 ; +; - RAM:inst1|memory[29][11] ; 0 ; 6 ; +; - RAM:inst1|memory[13][11] ; 0 ; 6 ; +; - RAM:inst1|memory[61][11] ; 0 ; 6 ; +; - RAM:inst1|memory[29][19] ; 0 ; 6 ; +; - RAM:inst1|memory[45][19] ; 0 ; 6 ; +; - RAM:inst1|memory[13][19] ; 0 ; 6 ; +; - RAM:inst1|memory[61][19] ; 0 ; 6 ; +; - RAM:inst1|memory[29][3] ; 0 ; 6 ; +; - RAM:inst1|memory[45][3] ; 0 ; 6 ; +; - RAM:inst1|memory[13][3] ; 0 ; 6 ; +; - RAM:inst1|memory[61][3] ; 0 ; 6 ; +; - RAM:inst1|memory[45][27] ; 0 ; 6 ; +; - RAM:inst1|memory[29][27] ; 0 ; 6 ; +; - RAM:inst1|memory[13][27] ; 0 ; 6 ; +; - RAM:inst1|memory[61][27] ; 0 ; 6 ; +; - RAM:inst1|memory[10][11] ; 0 ; 6 ; +; - RAM:inst1|memory[58][11] ; 0 ; 6 ; +; - RAM:inst1|memory[6][11] ; 0 ; 6 ; +; - RAM:inst1|memory[54][11] ; 0 ; 6 ; +; - RAM:inst1|memory[34][11] ; 0 ; 6 ; +; - RAM:inst1|memory[2][11] ; 0 ; 6 ; +; - RAM:inst1|memory[50][11] ; 0 ; 6 ; +; - RAM:inst1|memory[46][11] ; 0 ; 6 ; +; - RAM:inst1|memory[14][11] ; 0 ; 6 ; +; - RAM:inst1|memory[62][11] ; 0 ; 6 ; +; - RAM:inst1|memory[38][19] ; 0 ; 6 ; +; - RAM:inst1|memory[6][19] ; 0 ; 6 ; +; - RAM:inst1|memory[54][19] ; 0 ; 6 ; +; - RAM:inst1|memory[42][19] ; 0 ; 6 ; +; - RAM:inst1|memory[10][19] ; 0 ; 6 ; +; - RAM:inst1|memory[58][19] ; 0 ; 6 ; +; - RAM:inst1|memory[18][19] ; 0 ; 6 ; +; - RAM:inst1|memory[34][19] ; 0 ; 6 ; +; - RAM:inst1|memory[2][19] ; 0 ; 6 ; +; - RAM:inst1|memory[50][19] ; 0 ; 6 ; +; - RAM:inst1|memory[46][19] ; 0 ; 6 ; +; - RAM:inst1|memory[30][19] ; 0 ; 6 ; +; - RAM:inst1|memory[14][19] ; 0 ; 6 ; +; - RAM:inst1|memory[62][19] ; 0 ; 6 ; +; - RAM:inst1|memory[38][3] ; 0 ; 6 ; +; - RAM:inst1|memory[6][3] ; 0 ; 6 ; +; - RAM:inst1|memory[54][3] ; 0 ; 6 ; +; - RAM:inst1|memory[26][3] ; 0 ; 6 ; +; - RAM:inst1|memory[10][3] ; 0 ; 6 ; +; - RAM:inst1|memory[58][3] ; 0 ; 6 ; +; - RAM:inst1|memory[18][3] ; 0 ; 6 ; +; - RAM:inst1|memory[34][3] ; 0 ; 6 ; +; - RAM:inst1|memory[2][3] ; 0 ; 6 ; +; - RAM:inst1|memory[50][3] ; 0 ; 6 ; +; - RAM:inst1|memory[14][3] ; 0 ; 6 ; +; - RAM:inst1|memory[62][3] ; 0 ; 6 ; +; - RAM:inst1|memory[10][27] ; 0 ; 6 ; +; - RAM:inst1|memory[58][27] ; 0 ; 6 ; +; - RAM:inst1|memory[38][27] ; 0 ; 6 ; +; - RAM:inst1|memory[6][27] ; 0 ; 6 ; +; - RAM:inst1|memory[54][27] ; 0 ; 6 ; +; - RAM:inst1|memory[18][27] ; 0 ; 6 ; +; - RAM:inst1|memory[34][27] ; 0 ; 6 ; +; - RAM:inst1|memory[2][27] ; 0 ; 6 ; +; - RAM:inst1|memory[50][27] ; 0 ; 6 ; +; - RAM:inst1|memory[46][27] ; 0 ; 6 ; +; - RAM:inst1|memory[30][27] ; 0 ; 6 ; +; - RAM:inst1|memory[14][27] ; 0 ; 6 ; +; - RAM:inst1|memory[62][27] ; 0 ; 6 ; +; - RAM:inst1|memory[36][19] ; 0 ; 6 ; +; - RAM:inst1|memory[4][19] ; 0 ; 6 ; +; - RAM:inst1|memory[52][19] ; 0 ; 6 ; +; - RAM:inst1|memory[8][19] ; 0 ; 6 ; +; - RAM:inst1|memory[56][19] ; 0 ; 6 ; +; - RAM:inst1|memory[16][19] ; 0 ; 6 ; +; - RAM:inst1|memory[32][19] ; 0 ; 6 ; +; - RAM:inst1|memory[0][19] ; 0 ; 6 ; +; - RAM:inst1|memory[48][19] ; 0 ; 6 ; +; - RAM:inst1|memory[44][19] ; 0 ; 6 ; +; - RAM:inst1|memory[28][19] ; 0 ; 6 ; +; - RAM:inst1|memory[12][19] ; 0 ; 6 ; +; - RAM:inst1|memory[60][19] ; 0 ; 6 ; +; - RAM:inst1|memory[24][11] ; 0 ; 6 ; +; - RAM:inst1|memory[40][11] ; 0 ; 6 ; +; - RAM:inst1|memory[8][11] ; 0 ; 6 ; +; - RAM:inst1|memory[56][11] ; 0 ; 6 ; +; - RAM:inst1|memory[36][11] ; 0 ; 6 ; +; - RAM:inst1|memory[20][11] ; 0 ; 6 ; +; - RAM:inst1|memory[4][11] ; 0 ; 6 ; +; - RAM:inst1|memory[52][11] ; 0 ; 6 ; +; - RAM:inst1|memory[0][11] ; 0 ; 6 ; +; - RAM:inst1|memory[48][11] ; 0 ; 6 ; +; - RAM:inst1|memory[28][11] ; 0 ; 6 ; +; - RAM:inst1|memory[12][11] ; 0 ; 6 ; +; - RAM:inst1|memory[60][11] ; 0 ; 6 ; +; - RAM:inst1|memory[36][3] ; 0 ; 6 ; +; - RAM:inst1|memory[20][3] ; 0 ; 6 ; +; - RAM:inst1|memory[4][3] ; 0 ; 6 ; +; - RAM:inst1|memory[52][3] ; 0 ; 6 ; +; - RAM:inst1|memory[24][3] ; 0 ; 6 ; +; - RAM:inst1|memory[40][3] ; 0 ; 6 ; +; - RAM:inst1|memory[8][3] ; 0 ; 6 ; +; - RAM:inst1|memory[56][3] ; 0 ; 6 ; +; - RAM:inst1|memory[16][3] ; 0 ; 6 ; +; - RAM:inst1|memory[0][3] ; 0 ; 6 ; +; - RAM:inst1|memory[48][3] ; 0 ; 6 ; +; - RAM:inst1|memory[44][3] ; 0 ; 6 ; +; - RAM:inst1|memory[12][3] ; 0 ; 6 ; +; - RAM:inst1|memory[60][3] ; 0 ; 6 ; +; - RAM:inst1|memory[40][27] ; 0 ; 6 ; +; - RAM:inst1|memory[8][27] ; 0 ; 6 ; +; - RAM:inst1|memory[56][27] ; 0 ; 6 ; +; - RAM:inst1|memory[4][27] ; 0 ; 6 ; +; - RAM:inst1|memory[52][27] ; 0 ; 6 ; +; - RAM:inst1|memory[32][27] ; 0 ; 6 ; +; - RAM:inst1|memory[0][27] ; 0 ; 6 ; +; - RAM:inst1|memory[48][27] ; 0 ; 6 ; +; - RAM:inst1|memory[44][27] ; 0 ; 6 ; +; - RAM:inst1|memory[12][27] ; 0 ; 6 ; +; - RAM:inst1|memory[60][27] ; 0 ; 6 ; +; - RAM:inst1|memory[11][19] ; 0 ; 6 ; +; - RAM:inst1|memory[59][19] ; 0 ; 6 ; +; - RAM:inst1|memory[43][11] ; 0 ; 6 ; +; - RAM:inst1|memory[11][11] ; 0 ; 6 ; +; - RAM:inst1|memory[59][11] ; 0 ; 6 ; +; - RAM:inst1|memory[11][3] ; 0 ; 6 ; +; - RAM:inst1|memory[59][3] ; 0 ; 6 ; +; - RAM:inst1|memory[43][27] ; 0 ; 6 ; +; - RAM:inst1|memory[27][27] ; 0 ; 6 ; +; - RAM:inst1|memory[11][27] ; 0 ; 6 ; +; - RAM:inst1|memory[59][27] ; 0 ; 6 ; +; - RAM:inst1|memory[7][11] ; 0 ; 6 ; +; - RAM:inst1|memory[55][11] ; 0 ; 6 ; +; - RAM:inst1|memory[39][19] ; 0 ; 6 ; +; - RAM:inst1|memory[7][19] ; 0 ; 6 ; +; - RAM:inst1|memory[55][19] ; 0 ; 6 ; +; - RAM:inst1|memory[23][3] ; 0 ; 6 ; +; - RAM:inst1|memory[39][3] ; 0 ; 6 ; +; - RAM:inst1|memory[7][3] ; 0 ; 6 ; +; - RAM:inst1|memory[55][3] ; 0 ; 6 ; +; - RAM:inst1|memory[39][27] ; 0 ; 6 ; +; - RAM:inst1|memory[7][27] ; 0 ; 6 ; +; - RAM:inst1|memory[55][27] ; 0 ; 6 ; +; - RAM:inst1|memory[3][19] ; 0 ; 6 ; +; - RAM:inst1|memory[51][19] ; 0 ; 6 ; +; - RAM:inst1|memory[35][11] ; 0 ; 6 ; +; - RAM:inst1|memory[3][11] ; 0 ; 6 ; +; - RAM:inst1|memory[51][11] ; 0 ; 6 ; +; - RAM:inst1|memory[19][3] ; 0 ; 6 ; +; - RAM:inst1|memory[35][3] ; 0 ; 6 ; +; - RAM:inst1|memory[3][3] ; 0 ; 6 ; +; - RAM:inst1|memory[51][3] ; 0 ; 6 ; +; - RAM:inst1|memory[35][27] ; 0 ; 6 ; +; - RAM:inst1|memory[19][27] ; 0 ; 6 ; +; - RAM:inst1|memory[3][27] ; 0 ; 6 ; +; - RAM:inst1|memory[51][27] ; 0 ; 6 ; +; - RAM:inst1|memory[47][11] ; 0 ; 6 ; +; - RAM:inst1|memory[31][11] ; 0 ; 6 ; +; - RAM:inst1|memory[15][11] ; 0 ; 6 ; +; - RAM:inst1|memory[63][11] ; 0 ; 6 ; +; - RAM:inst1|memory[47][19] ; 0 ; 6 ; +; - RAM:inst1|memory[15][19] ; 0 ; 6 ; +; - RAM:inst1|memory[63][19] ; 0 ; 6 ; +; - RAM:inst1|memory[15][3] ; 0 ; 6 ; +; - RAM:inst1|memory[63][3] ; 0 ; 6 ; +; - RAM:inst1|memory[47][27] ; 0 ; 6 ; +; - RAM:inst1|memory[31][27] ; 0 ; 6 ; +; - RAM:inst1|memory[15][27] ; 0 ; 6 ; +; - RAM:inst1|memory[63][27] ; 0 ; 6 ; +; - RAM:inst1|memory[217][19] ; 0 ; 6 ; +; - RAM:inst1|memory[218][19] ; 0 ; 6 ; +; - RAM:inst1|memory[216][19] ; 0 ; 6 ; +; - RAM:inst1|memory[219][19] ; 0 ; 6 ; +; - RAM:inst1|memory[234][19] ; 0 ; 6 ; +; - RAM:inst1|memory[232][19] ; 0 ; 6 ; +; - RAM:inst1|memory[235][19] ; 0 ; 6 ; +; - RAM:inst1|memory[200][19] ; 0 ; 6 ; +; - RAM:inst1|memory[203][19] ; 0 ; 6 ; +; - RAM:inst1|memory[250][19] ; 0 ; 6 ; +; - RAM:inst1|memory[248][19] ; 0 ; 6 ; +; - RAM:inst1|memory[251][19] ; 0 ; 6 ; +; - RAM:inst1|memory[229][19] ; 0 ; 6 ; +; - RAM:inst1|memory[228][19] ; 0 ; 6 ; +; - RAM:inst1|memory[231][19] ; 0 ; 6 ; +; - RAM:inst1|memory[213][19] ; 0 ; 6 ; +; - RAM:inst1|memory[214][19] ; 0 ; 6 ; +; - RAM:inst1|memory[212][19] ; 0 ; 6 ; +; - RAM:inst1|memory[215][19] ; 0 ; 6 ; +; - RAM:inst1|memory[198][19] ; 0 ; 6 ; +; - RAM:inst1|memory[196][19] ; 0 ; 6 ; +; - RAM:inst1|memory[199][19] ; 0 ; 6 ; +; - RAM:inst1|memory[245][19] ; 0 ; 6 ; +; - RAM:inst1|memory[244][19] ; 0 ; 6 ; +; - RAM:inst1|memory[247][19] ; 0 ; 6 ; +; - RAM:inst1|memory[209][19] ; 0 ; 6 ; +; - RAM:inst1|memory[208][19] ; 0 ; 6 ; +; - RAM:inst1|memory[211][19] ; 0 ; 6 ; +; - RAM:inst1|memory[226][19] ; 0 ; 6 ; +; - RAM:inst1|memory[224][19] ; 0 ; 6 ; +; - RAM:inst1|memory[227][19] ; 0 ; 6 ; +; - RAM:inst1|memory[193][19] ; 0 ; 6 ; +; - RAM:inst1|memory[192][19] ; 0 ; 6 ; +; - RAM:inst1|memory[195][19] ; 0 ; 6 ; +; - RAM:inst1|memory[241][19] ; 0 ; 6 ; +; - RAM:inst1|memory[242][19] ; 0 ; 6 ; +; - RAM:inst1|memory[240][19] ; 0 ; 6 ; +; - RAM:inst1|memory[243][19] ; 0 ; 6 ; +; - RAM:inst1|memory[238][19] ; 0 ; 6 ; +; - RAM:inst1|memory[237][19] ; 0 ; 6 ; +; - RAM:inst1|memory[236][19] ; 0 ; 6 ; +; - RAM:inst1|memory[239][19] ; 0 ; 6 ; +; - RAM:inst1|memory[222][19] ; 0 ; 6 ; +; - RAM:inst1|memory[220][19] ; 0 ; 6 ; +; - RAM:inst1|memory[223][19] ; 0 ; 6 ; +; - RAM:inst1|memory[206][19] ; 0 ; 6 ; +; - RAM:inst1|memory[204][19] ; 0 ; 6 ; +; - RAM:inst1|memory[207][19] ; 0 ; 6 ; +; - RAM:inst1|memory[252][19] ; 0 ; 6 ; +; - RAM:inst1|memory[255][19] ; 0 ; 6 ; +; - RAM:inst1|memory[212][11] ; 0 ; 6 ; +; - RAM:inst1|memory[215][11] ; 0 ; 6 ; +; - RAM:inst1|memory[218][11] ; 0 ; 6 ; +; - RAM:inst1|memory[217][11] ; 0 ; 6 ; +; - RAM:inst1|memory[216][11] ; 0 ; 6 ; +; - RAM:inst1|memory[219][11] ; 0 ; 6 ; +; - RAM:inst1|memory[208][11] ; 0 ; 6 ; +; - RAM:inst1|memory[211][11] ; 0 ; 6 ; +; - RAM:inst1|memory[221][11] ; 0 ; 6 ; +; - RAM:inst1|memory[222][11] ; 0 ; 6 ; +; - RAM:inst1|memory[220][11] ; 0 ; 6 ; +; - RAM:inst1|memory[223][11] ; 0 ; 6 ; +; - RAM:inst1|memory[234][11] ; 0 ; 6 ; +; - RAM:inst1|memory[232][11] ; 0 ; 6 ; +; - RAM:inst1|memory[235][11] ; 0 ; 6 ; +; - RAM:inst1|memory[229][11] ; 0 ; 6 ; +; - RAM:inst1|memory[230][11] ; 0 ; 6 ; +; - RAM:inst1|memory[228][11] ; 0 ; 6 ; +; - RAM:inst1|memory[231][11] ; 0 ; 6 ; +; - RAM:inst1|memory[226][11] ; 0 ; 6 ; +; - RAM:inst1|memory[225][11] ; 0 ; 6 ; +; - RAM:inst1|memory[224][11] ; 0 ; 6 ; +; - RAM:inst1|memory[227][11] ; 0 ; 6 ; +; - RAM:inst1|memory[237][11] ; 0 ; 6 ; +; - RAM:inst1|memory[238][11] ; 0 ; 6 ; +; - RAM:inst1|memory[236][11] ; 0 ; 6 ; +; - RAM:inst1|memory[239][11] ; 0 ; 6 ; +; - RAM:inst1|memory[201][11] ; 0 ; 6 ; +; - RAM:inst1|memory[200][11] ; 0 ; 6 ; +; - RAM:inst1|memory[203][11] ; 0 ; 6 ; +; - RAM:inst1|memory[198][11] ; 0 ; 6 ; +; - RAM:inst1|memory[196][11] ; 0 ; 6 ; +; - RAM:inst1|memory[199][11] ; 0 ; 6 ; +; - RAM:inst1|memory[194][11] ; 0 ; 6 ; +; - RAM:inst1|memory[192][11] ; 0 ; 6 ; +; - RAM:inst1|memory[195][11] ; 0 ; 6 ; +; - RAM:inst1|memory[206][11] ; 0 ; 6 ; +; - RAM:inst1|memory[204][11] ; 0 ; 6 ; +; - RAM:inst1|memory[207][11] ; 0 ; 6 ; +; - RAM:inst1|memory[244][11] ; 0 ; 6 ; +; - RAM:inst1|memory[247][11] ; 0 ; 6 ; +; - RAM:inst1|memory[250][11] ; 0 ; 6 ; +; - RAM:inst1|memory[249][11] ; 0 ; 6 ; +; - RAM:inst1|memory[248][11] ; 0 ; 6 ; +; - RAM:inst1|memory[251][11] ; 0 ; 6 ; +; - RAM:inst1|memory[242][11] ; 0 ; 6 ; +; - RAM:inst1|memory[241][11] ; 0 ; 6 ; +; - RAM:inst1|memory[240][11] ; 0 ; 6 ; +; - RAM:inst1|memory[243][11] ; 0 ; 6 ; +; - RAM:inst1|memory[253][11] ; 0 ; 6 ; +; - RAM:inst1|memory[254][11] ; 0 ; 6 ; +; - RAM:inst1|memory[252][11] ; 0 ; 6 ; +; - RAM:inst1|memory[255][11] ; 0 ; 6 ; +; - RAM:inst1|memory[230][3] ; 0 ; 6 ; +; - RAM:inst1|memory[229][3] ; 0 ; 6 ; +; - RAM:inst1|memory[228][3] ; 0 ; 6 ; +; - RAM:inst1|memory[231][3] ; 0 ; 6 ; +; - RAM:inst1|memory[214][3] ; 0 ; 6 ; +; - RAM:inst1|memory[212][3] ; 0 ; 6 ; +; - RAM:inst1|memory[215][3] ; 0 ; 6 ; +; - RAM:inst1|memory[196][3] ; 0 ; 6 ; +; - RAM:inst1|memory[199][3] ; 0 ; 6 ; +; - RAM:inst1|memory[245][3] ; 0 ; 6 ; +; - RAM:inst1|memory[246][3] ; 0 ; 6 ; +; - RAM:inst1|memory[244][3] ; 0 ; 6 ; +; - RAM:inst1|memory[247][3] ; 0 ; 6 ; +; - RAM:inst1|memory[218][3] ; 0 ; 6 ; +; - RAM:inst1|memory[216][3] ; 0 ; 6 ; +; - RAM:inst1|memory[219][3] ; 0 ; 6 ; +; - RAM:inst1|memory[234][3] ; 0 ; 6 ; +; - RAM:inst1|memory[232][3] ; 0 ; 6 ; +; - RAM:inst1|memory[235][3] ; 0 ; 6 ; +; - RAM:inst1|memory[202][3] ; 0 ; 6 ; +; - RAM:inst1|memory[200][3] ; 0 ; 6 ; +; - RAM:inst1|memory[203][3] ; 0 ; 6 ; +; - RAM:inst1|memory[249][3] ; 0 ; 6 ; +; - RAM:inst1|memory[250][3] ; 0 ; 6 ; +; - RAM:inst1|memory[248][3] ; 0 ; 6 ; +; - RAM:inst1|memory[251][3] ; 0 ; 6 ; +; - RAM:inst1|memory[209][3] ; 0 ; 6 ; +; - RAM:inst1|memory[210][3] ; 0 ; 6 ; +; - RAM:inst1|memory[208][3] ; 0 ; 6 ; +; - RAM:inst1|memory[211][3] ; 0 ; 6 ; +; - RAM:inst1|memory[226][3] ; 0 ; 6 ; +; - RAM:inst1|memory[225][3] ; 0 ; 6 ; +; - RAM:inst1|memory[224][3] ; 0 ; 6 ; +; - RAM:inst1|memory[227][3] ; 0 ; 6 ; +; - RAM:inst1|memory[192][3] ; 0 ; 6 ; +; - RAM:inst1|memory[195][3] ; 0 ; 6 ; +; - RAM:inst1|memory[241][3] ; 0 ; 6 ; +; - RAM:inst1|memory[240][3] ; 0 ; 6 ; +; - RAM:inst1|memory[243][3] ; 0 ; 6 ; +; - RAM:inst1|memory[238][3] ; 0 ; 6 ; +; - RAM:inst1|memory[237][3] ; 0 ; 6 ; +; - RAM:inst1|memory[236][3] ; 0 ; 6 ; +; - RAM:inst1|memory[239][3] ; 0 ; 6 ; +; - RAM:inst1|memory[222][3] ; 0 ; 6 ; +; - RAM:inst1|memory[220][3] ; 0 ; 6 ; +; - RAM:inst1|memory[223][3] ; 0 ; 6 ; +; - RAM:inst1|memory[206][3] ; 0 ; 6 ; +; - RAM:inst1|memory[204][3] ; 0 ; 6 ; +; - RAM:inst1|memory[207][3] ; 0 ; 6 ; +; - RAM:inst1|memory[253][3] ; 0 ; 6 ; +; - RAM:inst1|memory[252][3] ; 0 ; 6 ; +; - RAM:inst1|memory[255][3] ; 0 ; 6 ; +; - RAM:inst1|memory[234][27] ; 0 ; 6 ; +; - RAM:inst1|memory[230][27] ; 0 ; 6 ; +; - RAM:inst1|memory[226][27] ; 0 ; 6 ; +; - RAM:inst1|memory[238][27] ; 0 ; 6 ; +; - RAM:inst1|memory[229][27] ; 0 ; 6 ; +; - RAM:inst1|memory[233][27] ; 0 ; 6 ; +; - RAM:inst1|memory[225][27] ; 0 ; 6 ; +; - RAM:inst1|memory[237][27] ; 0 ; 6 ; +; - RAM:inst1|memory[232][27] ; 0 ; 6 ; +; - RAM:inst1|memory[228][27] ; 0 ; 6 ; +; - RAM:inst1|memory[224][27] ; 0 ; 6 ; +; - RAM:inst1|memory[236][27] ; 0 ; 6 ; +; - RAM:inst1|memory[231][27] ; 0 ; 6 ; +; - RAM:inst1|memory[235][27] ; 0 ; 6 ; +; - RAM:inst1|memory[227][27] ; 0 ; 6 ; +; - RAM:inst1|memory[239][27] ; 0 ; 6 ; +; - RAM:inst1|memory[213][27] ; 0 ; 6 ; +; - RAM:inst1|memory[209][27] ; 0 ; 6 ; +; - RAM:inst1|memory[221][27] ; 0 ; 6 ; +; - RAM:inst1|memory[214][27] ; 0 ; 6 ; +; - RAM:inst1|memory[210][27] ; 0 ; 6 ; +; - RAM:inst1|memory[222][27] ; 0 ; 6 ; +; - RAM:inst1|memory[212][27] ; 0 ; 6 ; +; - RAM:inst1|memory[208][27] ; 0 ; 6 ; +; - RAM:inst1|memory[220][27] ; 0 ; 6 ; +; - RAM:inst1|memory[215][27] ; 0 ; 6 ; +; - RAM:inst1|memory[211][27] ; 0 ; 6 ; +; - RAM:inst1|memory[223][27] ; 0 ; 6 ; +; - RAM:inst1|memory[202][27] ; 0 ; 6 ; +; - RAM:inst1|memory[194][27] ; 0 ; 6 ; +; - RAM:inst1|memory[206][27] ; 0 ; 6 ; +; - RAM:inst1|memory[197][27] ; 0 ; 6 ; +; - RAM:inst1|memory[201][27] ; 0 ; 6 ; +; - RAM:inst1|memory[193][27] ; 0 ; 6 ; +; - RAM:inst1|memory[205][27] ; 0 ; 6 ; +; - RAM:inst1|memory[196][27] ; 0 ; 6 ; +; - RAM:inst1|memory[192][27] ; 0 ; 6 ; +; - RAM:inst1|memory[204][27] ; 0 ; 6 ; +; - RAM:inst1|memory[199][27] ; 0 ; 6 ; +; - RAM:inst1|memory[195][27] ; 0 ; 6 ; +; - RAM:inst1|memory[207][27] ; 0 ; 6 ; +; - RAM:inst1|memory[245][27] ; 0 ; 6 ; +; - RAM:inst1|memory[249][27] ; 0 ; 6 ; +; - RAM:inst1|memory[241][27] ; 0 ; 6 ; +; - RAM:inst1|memory[253][27] ; 0 ; 6 ; +; - RAM:inst1|memory[242][27] ; 0 ; 6 ; +; - RAM:inst1|memory[254][27] ; 0 ; 6 ; +; - RAM:inst1|memory[248][27] ; 0 ; 6 ; +; - RAM:inst1|memory[240][27] ; 0 ; 6 ; +; - RAM:inst1|memory[252][27] ; 0 ; 6 ; +; - RAM:inst1|memory[247][27] ; 0 ; 6 ; +; - RAM:inst1|memory[251][27] ; 0 ; 6 ; +; - RAM:inst1|memory[243][27] ; 0 ; 6 ; +; - RAM:inst1|memory[255][27] ; 0 ; 6 ; +; - RAM:inst1|memory[167][11]~feeder ; 0 ; 6 ; +; - RAM:inst1|memory[180][11]~feeder ; 0 ; 6 ; +; - RAM:inst1|memory[166][27]~feeder ; 0 ; 6 ; +; - RAM:inst1|memory[86][3]~feeder ; 0 ; 6 ; +; - RAM:inst1|memory[246][27]~feeder ; 0 ; 6 ; +; - RAM:inst1|memory[246][19]~feeder ; 0 ; 6 ; +; - RAM:inst1|memory[230][19]~feeder ; 0 ; 6 ; +; - RAM:inst1|memory[166][3]~feeder ; 0 ; 6 ; +; - RAM:inst1|memory[134][3]~feeder ; 0 ; 6 ; +; - RAM:inst1|memory[102][19]~feeder ; 0 ; 6 ; +; - RAM:inst1|memory[134][11]~feeder ; 0 ; 6 ; +; - RAM:inst1|memory[134][27]~feeder ; 0 ; 6 ; +; - RAM:inst1|memory[182][27]~feeder ; 0 ; 6 ; +; - RAM:inst1|memory[182][19]~feeder ; 0 ; 6 ; +; - RAM:inst1|memory[198][3]~feeder ; 0 ; 6 ; +; - RAM:inst1|memory[198][27]~feeder ; 0 ; 6 ; +; - RAM:inst1|memory[70][11]~feeder ; 0 ; 6 ; +; - RAM:inst1|memory[70][3]~feeder ; 0 ; 6 ; +; - RAM:inst1|memory[120][19]~feeder ; 0 ; 6 ; +; - RAM:inst1|memory[66][11]~feeder ; 0 ; 6 ; +; - RAM:inst1|memory[28][3]~feeder ; 0 ; 6 ; +; - RAM:inst1|memory[28][27]~feeder ; 0 ; 6 ; +; - RAM:inst1|memory[159][3]~feeder ; 0 ; 6 ; +; - RAM:inst1|memory[254][3]~feeder ; 0 ; 6 ; +; - RAM:inst1|memory[242][3]~feeder ; 0 ; 6 ; +; - RAM:inst1|memory[22][19]~feeder ; 0 ; 6 ; +; - RAM:inst1|memory[175][19]~feeder ; 0 ; 6 ; +; - RAM:inst1|memory[22][11]~feeder ; 0 ; 6 ; +; - RAM:inst1|memory[22][27]~feeder ; 0 ; 6 ; +; - RAM:inst1|memory[118][3]~feeder ; 0 ; 6 ; +; - RAM:inst1|memory[118][27]~feeder ; 0 ; 6 ; +; - RAM:inst1|memory[22][3]~feeder ; 0 ; 6 ; +; - RAM:inst1|memory[30][3]~feeder ; 0 ; 6 ; +; - RAM:inst1|memory[194][19]~feeder ; 0 ; 6 ; +; - RAM:inst1|memory[167][19]~feeder ; 0 ; 6 ; +; - RAM:inst1|memory[151][19]~feeder ; 0 ; 6 ; +; - RAM:inst1|memory[20][19]~feeder ; 0 ; 6 ; +; - RAM:inst1|memory[20][27]~feeder ; 0 ; 6 ; +; - RAM:inst1|memory[182][11]~feeder ; 0 ; 6 ; +; - RAM:inst1|memory[244][27]~feeder ; 0 ; 6 ; +; - RAM:inst1|memory[134][19]~feeder ; 0 ; 6 ; +; - RAM:inst1|memory[166][19]~feeder ; 0 ; 6 ; +; - RAM:inst1|memory[38][11]~feeder ; 0 ; 6 ; +; - RAM:inst1|memory[118][11]~feeder ; 0 ; 6 ; +; - RAM:inst1|memory[246][11]~feeder ; 0 ; 6 ; +; - RAM:inst1|memory[214][11]~feeder ; 0 ; 6 ; +; - RAM:inst1|memory[102][11]~feeder ; 0 ; 6 ; +; - RAM:inst1|memory[213][11]~feeder ; 0 ; 6 ; +; - RAM:inst1|memory[165][11]~feeder ; 0 ; 6 ; +; - RAM:inst1|memory[53][11]~feeder ; 0 ; 6 ; +; - RAM:inst1|memory[36][27]~feeder ; 0 ; 6 ; +; - RAM:inst1|memory[39][11]~feeder ; 0 ; 6 ; +; - RAM:inst1|memory[23][11]~feeder ; 0 ; 6 ; +; - RAM:inst1|memory[103][3]~feeder ; 0 ; 6 ; +; - RAM:inst1|memory[197][11]~feeder ; 0 ; 6 ; +; - RAM:inst1|memory[69][11]~feeder ; 0 ; 6 ; +; - RAM:inst1|memory[181][11]~feeder ; 0 ; 6 ; +; - RAM:inst1|memory[245][11]~feeder ; 0 ; 6 ; +; - RAM:inst1|memory[117][11]~feeder ; 0 ; 6 ; +; - RAM:inst1|memory[33][11]~feeder ; 0 ; 6 ; +; - RAM:inst1|memory[32][11]~feeder ; 0 ; 6 ; +; - RAM:inst1|memory[193][11]~feeder ; 0 ; 6 ; +; - RAM:inst1|memory[161][11]~feeder ; 0 ; 6 ; +; - RAM:inst1|memory[160][11]~feeder ; 0 ; 6 ; +; - RAM:inst1|memory[33][3]~feeder ; 0 ; 6 ; +; - RAM:inst1|memory[32][3]~feeder ; 0 ; 6 ; +; - RAM:inst1|memory[225][19]~feeder ; 0 ; 6 ; +; - RAM:inst1|memory[205][11]~feeder ; 0 ; 6 ; +; - RAM:inst1|memory[87][27]~feeder ; 0 ; 6 ; +; - RAM:inst1|memory[253][19]~feeder ; 0 ; 6 ; +; - RAM:inst1|memory[35][19]~feeder ; 0 ; 6 ; +; - RAM:inst1|memory[23][19]~feeder ; 0 ; 6 ; +; - RAM:inst1|memory[23][27]~feeder ; 0 ; 6 ; +; - RAM:inst1|memory[149][11]~feeder ; 0 ; 6 ; +; - RAM:inst1|memory[151][11]~feeder ; 0 ; 6 ; +; - RAM:inst1|memory[100][19]~feeder ; 0 ; 6 ; +; - RAM:inst1|memory[86][11]~feeder ; 0 ; 6 ; +; - RAM:inst1|memory[117][3]~feeder ; 0 ; 6 ; +; - RAM:inst1|memory[44][11]~feeder ; 0 ; 6 ; +; - RAM:inst1|memory[163][19]~feeder ; 0 ; 6 ; +; - RAM:inst1|memory[109][11]~feeder ; 0 ; 6 ; +; - RAM:inst1|memory[194][3]~feeder ; 0 ; 6 ; +; - RAM:inst1|memory[21][19]~feeder ; 0 ; 6 ; +; - RAM:inst1|memory[21][27]~feeder ; 0 ; 6 ; +; - RAM:inst1|memory[97][3]~feeder ; 0 ; 6 ; +; - RAM:inst1|memory[117][27]~feeder ; 0 ; 6 ; +; - RAM:inst1|memory[77][11]~feeder ; 0 ; 6 ; +; - RAM:inst1|memory[172][11]~feeder ; 0 ; 6 ; +; - RAM:inst1|memory[188][11]~feeder ; 0 ; 6 ; +; - RAM:inst1|memory[47][3]~feeder ; 0 ; 6 ; +; - RAM:inst1|memory[197][3]~feeder ; 0 ; 6 ; +; - RAM:inst1|memory[205][3]~feeder ; 0 ; 6 ; +; - RAM:inst1|memory[193][3]~feeder ; 0 ; 6 ; +; - RAM:inst1|memory[189][11]~feeder ; 0 ; 6 ; +; - RAM:inst1|memory[157][11]~feeder ; 0 ; 6 ; +; - RAM:inst1|memory[77][3]~feeder ; 0 ; 6 ; +; - RAM:inst1|memory[113][3]~feeder ; 0 ; 6 ; +; - RAM:inst1|memory[85][11]~feeder ; 0 ; 6 ; +; - RAM:inst1|memory[93][11]~feeder ; 0 ; 6 ; +; - RAM:inst1|memory[17][11]~feeder ; 0 ; 6 ; +; - RAM:inst1|memory[19][11]~feeder ; 0 ; 6 ; +; - RAM:inst1|memory[109][27]~feeder ; 0 ; 6 ; +; - RAM:inst1|memory[77][27]~feeder ; 0 ; 6 ; +; - RAM:inst1|memory[169][3]~feeder ; 0 ; 6 ; +; - RAM:inst1|memory[233][3]~feeder ; 0 ; 6 ; +; - RAM:inst1|memory[205][19]~feeder ; 0 ; 6 ; +; - RAM:inst1|memory[85][3]~feeder ; 0 ; 6 ; +; - RAM:inst1|memory[93][3]~feeder ; 0 ; 6 ; +; - RAM:inst1|memory[125][27]~feeder ; 0 ; 6 ; +; - RAM:inst1|memory[141][19]~feeder ; 0 ; 6 ; +; - RAM:inst1|memory[201][3]~feeder ; 0 ; 6 ; +; - RAM:inst1|memory[65][3]~feeder ; 0 ; 6 ; +; - RAM:inst1|memory[81][3]~feeder ; 0 ; 6 ; +; - RAM:inst1|memory[197][19]~feeder ; 0 ; 6 ; +; - RAM:inst1|memory[43][19]~feeder ; 0 ; 6 ; +; - RAM:inst1|memory[249][19]~feeder ; 0 ; 6 ; +; - RAM:inst1|memory[169][27]~feeder ; 0 ; 6 ; +; - RAM:inst1|memory[81][27]~feeder ; 0 ; 6 ; +; - RAM:inst1|memory[93][27]~feeder ; 0 ; 6 ; +; - RAM:inst1|memory[213][3]~feeder ; 0 ; 6 ; +; - RAM:inst1|memory[221][3]~feeder ; 0 ; 6 ; +; - RAM:inst1|memory[203][27]~feeder ; 0 ; 6 ; +; - RAM:inst1|memory[104][19]~feeder ; 0 ; 6 ; +; - RAM:inst1|memory[40][19]~feeder ; 0 ; 6 ; +; - RAM:inst1|memory[209][11]~feeder ; 0 ; 6 ; +; - RAM:inst1|memory[75][19]~feeder ; 0 ; 6 ; +; - RAM:inst1|memory[107][19]~feeder ; 0 ; 6 ; +; - RAM:inst1|memory[123][19]~feeder ; 0 ; 6 ; +; - RAM:inst1|memory[217][3]~feeder ; 0 ; 6 ; +; - RAM:inst1|memory[17][3]~feeder ; 0 ; 6 ; +; - RAM:inst1|memory[17][27]~feeder ; 0 ; 6 ; +; - RAM:inst1|memory[31][19]~feeder ; 0 ; 6 ; +; - RAM:inst1|memory[31][3]~feeder ; 0 ; 6 ; +; - RAM:inst1|memory[27][11]~feeder ; 0 ; 6 ; +; - RAM:inst1|memory[27][3]~feeder ; 0 ; 6 ; +; - RAM:inst1|memory[219][27]~feeder ; 0 ; 6 ; +; - RAM:inst1|memory[152][11]~feeder ; 0 ; 6 ; +; - RAM:inst1|memory[187][19]~feeder ; 0 ; 6 ; +; - RAM:inst1|memory[145][11]~feeder ; 0 ; 6 ; +; - RAM:inst1|memory[27][19]~feeder ; 0 ; 6 ; +; - RAM:inst1|memory[19][19]~feeder ; 0 ; 6 ; +; - RAM:inst1|memory[139][11]~feeder ; 0 ; 6 ; +; - RAM:inst1|memory[155][11]~feeder ; 0 ; 6 ; +; - RAM:inst1|memory[218][27]~feeder ; 0 ; 6 ; +; - RAM:inst1|memory[90][27]~feeder ; 0 ; 6 ; +; - RAM:inst1|memory[17][19]~feeder ; 0 ; 6 ; +; - RAM:inst1|memory[145][19]~feeder ; 0 ; 6 ; +; - RAM:inst1|memory[186][11]~feeder ; 0 ; 6 ; +; - RAM:inst1|memory[122][27]~feeder ; 0 ; 6 ; +; - RAM:inst1|memory[250][27]~feeder ; 0 ; 6 ; +; - RAM:inst1|memory[221][19]~feeder ; 0 ; 6 ; +; - RAM:inst1|memory[157][19]~feeder ; 0 ; 6 ; +; - RAM:inst1|memory[16][27]~feeder ; 0 ; 6 ; +; - RAM:inst1|memory[16][11]~feeder ; 0 ; 6 ; +; - RAM:inst1|memory[210][11]~feeder ; 0 ; 6 ; +; - RAM:inst1|memory[24][19]~feeder ; 0 ; 6 ; +; - RAM:inst1|memory[26][11]~feeder ; 0 ; 6 ; +; - RAM:inst1|memory[26][19]~feeder ; 0 ; 6 ; +; - RAM:inst1|memory[154][27]~feeder ; 0 ; 6 ; +; - RAM:inst1|memory[26][27]~feeder ; 0 ; 6 ; +; - RAM:inst1|memory[210][19]~feeder ; 0 ; 6 ; +; - RAM:inst1|memory[110][3]~feeder ; 0 ; 6 ; +; - RAM:inst1|memory[46][3]~feeder ; 0 ; 6 ; +; - RAM:inst1|memory[94][19]~feeder ; 0 ; 6 ; +; - RAM:inst1|memory[98][19]~feeder ; 0 ; 6 ; +; - RAM:inst1|memory[87][19]~feeder ; 0 ; 6 ; +; - RAM:inst1|memory[30][11]~feeder ; 0 ; 6 ; +; - RAM:inst1|memory[18][11]~feeder ; 0 ; 6 ; +; - RAM:inst1|memory[94][11]~feeder ; 0 ; 6 ; +; - RAM:inst1|memory[126][11]~feeder ; 0 ; 6 ; +; - RAM:inst1|memory[158][19]~feeder ; 0 ; 6 ; +; - RAM:inst1|memory[254][19]~feeder ; 0 ; 6 ; +; - RAM:inst1|memory[82][3]~feeder ; 0 ; 6 ; +; - RAM:inst1|memory[82][11]~feeder ; 0 ; 6 ; +; - RAM:inst1|memory[66][3]~feeder ; 0 ; 6 ; +; - RAM:inst1|memory[154][19]~feeder ; 0 ; 6 ; +; - RAM:inst1|memory[154][3]~feeder ; 0 ; 6 ; +; - RAM:inst1|memory[169][11]~feeder ; 0 ; 6 ; +; - RAM:inst1|memory[233][11]~feeder ; 0 ; 6 ; +; - RAM:inst1|memory[41][11]~feeder ; 0 ; 6 ; +; - RAM:inst1|memory[105][19]~feeder ; 0 ; 6 ; +; - RAM:inst1|memory[121][19]~feeder ; 0 ; 6 ; +; - RAM:inst1|memory[105][11]~feeder ; 0 ; 6 ; +; - RAM:inst1|memory[73][11]~feeder ; 0 ; 6 ; +; - RAM:inst1|memory[125][3]~feeder ; 0 ; 6 ; +; - RAM:inst1|memory[121][3]~feeder ; 0 ; 6 ; +; - RAM:inst1|memory[41][3]~feeder ; 0 ; 6 ; +; - RAM:inst1|memory[41][27]~feeder ; 0 ; 6 ; +; - RAM:inst1|memory[201][19]~feeder ; 0 ; 6 ; +; - RAM:inst1|memory[73][3]~feeder ; 0 ; 6 ; +; - RAM:inst1|memory[169][19]~feeder ; 0 ; 6 ; +; - RAM:inst1|memory[233][19]~feeder ; 0 ; 6 ; +; - RAM:inst1|memory[89][3]~feeder ; 0 ; 6 ; +; - RAM:inst1|memory[121][27]~feeder ; 0 ; 6 ; +; - RAM:inst1|memory[89][27]~feeder ; 0 ; 6 ; +; - RAM:inst1|memory[25][27]~feeder ; 0 ; 6 ; +; - RAM:inst1|memory[43][3]~feeder ; 0 ; 6 ; +; - RAM:inst1|memory[25][3]~feeder ; 0 ; 6 ; +; - RAM:inst1|memory[25][19]~feeder ; 0 ; 6 ; +; - RAM:inst1|memory[200][27]~feeder ; 0 ; 6 ; +; - RAM:inst1|memory[42][11]~feeder ; 0 ; 6 ; +; - RAM:inst1|memory[170][11]~feeder ; 0 ; 6 ; +; - RAM:inst1|memory[216][27]~feeder ; 0 ; 6 ; +; - RAM:inst1|memory[42][3]~feeder ; 0 ; 6 ; +; - RAM:inst1|memory[106][3]~feeder ; 0 ; 6 ; +; - RAM:inst1|memory[42][27]~feeder ; 0 ; 6 ; +; - RAM:inst1|memory[24][27]~feeder ; 0 ; 6 ; +; - RAM:inst1|memory[202][19]~feeder ; 0 ; 6 ; +; - RAM:inst1|memory[202][11]~feeder ; 0 ; 6 ; +; - RAM:inst1|memory[122][11]~feeder ; 0 ; 6 ; +; - RAM:inst1|memory[186][3]~feeder ; 0 ; 6 ; +; - RAM:inst1|memory[186][19]~feeder ; 0 ; 6 ; +; - RAM:inst1|memory[217][27]~feeder ; 0 ; 6 ; +; - RAM:inst1|memory[106][19]~feeder ; 0 ; 6 ; +; - RAM:inst1|memory[144][19]~feeder ; 0 ; 6 ; +; - RAM:inst1|memory[106][27]~feeder ; 0 ; 6 ; +; Data[2] ; ; ; +; - RAM:inst1|memory[85][10] ; 1 ; 6 ; +; - RAM:inst1|memory[21][10] ; 1 ; 6 ; +; - RAM:inst1|memory[213][10] ; 1 ; 6 ; +; - RAM:inst1|memory[25][10] ; 1 ; 6 ; +; - RAM:inst1|memory[217][10] ; 1 ; 6 ; +; - RAM:inst1|memory[145][10] ; 1 ; 6 ; +; - RAM:inst1|memory[17][10] ; 1 ; 6 ; +; - RAM:inst1|memory[209][10] ; 1 ; 6 ; +; - RAM:inst1|memory[93][10] ; 1 ; 6 ; +; - RAM:inst1|memory[157][10] ; 1 ; 6 ; +; - RAM:inst1|memory[29][10] ; 1 ; 6 ; +; - RAM:inst1|memory[221][10] ; 1 ; 6 ; +; - RAM:inst1|memory[169][10] ; 1 ; 6 ; +; - RAM:inst1|memory[41][10] ; 1 ; 6 ; +; - RAM:inst1|memory[233][10] ; 1 ; 6 ; +; - RAM:inst1|memory[37][10] ; 1 ; 6 ; +; - RAM:inst1|memory[229][10] ; 1 ; 6 ; +; - RAM:inst1|memory[97][10] ; 1 ; 6 ; +; - RAM:inst1|memory[33][10] ; 1 ; 6 ; +; - RAM:inst1|memory[225][10] ; 1 ; 6 ; +; - RAM:inst1|memory[109][10] ; 1 ; 6 ; +; - RAM:inst1|memory[45][10] ; 1 ; 6 ; +; - RAM:inst1|memory[237][10] ; 1 ; 6 ; +; - RAM:inst1|memory[137][10] ; 1 ; 6 ; +; - RAM:inst1|memory[9][10] ; 1 ; 6 ; +; - RAM:inst1|memory[201][10] ; 1 ; 6 ; +; - RAM:inst1|memory[69][10] ; 1 ; 6 ; +; - RAM:inst1|memory[133][10] ; 1 ; 6 ; +; - RAM:inst1|memory[5][10] ; 1 ; 6 ; +; - RAM:inst1|memory[197][10] ; 1 ; 6 ; +; - RAM:inst1|memory[129][10] ; 1 ; 6 ; +; - RAM:inst1|memory[65][10] ; 1 ; 6 ; +; - RAM:inst1|memory[1][10] ; 1 ; 6 ; +; - RAM:inst1|memory[193][10] ; 1 ; 6 ; +; - RAM:inst1|memory[141][10] ; 1 ; 6 ; +; - RAM:inst1|memory[13][10] ; 1 ; 6 ; +; - RAM:inst1|memory[205][10] ; 1 ; 6 ; +; - RAM:inst1|memory[181][10] ; 1 ; 6 ; +; - RAM:inst1|memory[177][10] ; 1 ; 6 ; +; - RAM:inst1|memory[189][10] ; 1 ; 6 ; +; - RAM:inst1|memory[117][10] ; 1 ; 6 ; +; - RAM:inst1|memory[113][10] ; 1 ; 6 ; +; - RAM:inst1|memory[125][10] ; 1 ; 6 ; +; - RAM:inst1|memory[49][10] ; 1 ; 6 ; +; - RAM:inst1|memory[61][10] ; 1 ; 6 ; +; - RAM:inst1|memory[249][10] ; 1 ; 6 ; +; - RAM:inst1|memory[245][10] ; 1 ; 6 ; +; - RAM:inst1|memory[241][10] ; 1 ; 6 ; +; - RAM:inst1|memory[253][10] ; 1 ; 6 ; +; - RAM:inst1|memory[165][18] ; 1 ; 6 ; +; - RAM:inst1|memory[133][18] ; 1 ; 6 ; +; - RAM:inst1|memory[181][18] ; 1 ; 6 ; +; - RAM:inst1|memory[101][18] ; 1 ; 6 ; +; - RAM:inst1|memory[85][18] ; 1 ; 6 ; +; - RAM:inst1|memory[69][18] ; 1 ; 6 ; +; - RAM:inst1|memory[117][18] ; 1 ; 6 ; +; - RAM:inst1|memory[37][18] ; 1 ; 6 ; +; - RAM:inst1|memory[5][18] ; 1 ; 6 ; +; - RAM:inst1|memory[53][18] ; 1 ; 6 ; +; - RAM:inst1|memory[229][18] ; 1 ; 6 ; +; - RAM:inst1|memory[197][18] ; 1 ; 6 ; +; - RAM:inst1|memory[245][18] ; 1 ; 6 ; +; - RAM:inst1|memory[105][18] ; 1 ; 6 ; +; - RAM:inst1|memory[73][18] ; 1 ; 6 ; +; - RAM:inst1|memory[121][18] ; 1 ; 6 ; +; - RAM:inst1|memory[153][18] ; 1 ; 6 ; +; - RAM:inst1|memory[169][18] ; 1 ; 6 ; +; - RAM:inst1|memory[137][18] ; 1 ; 6 ; +; - RAM:inst1|memory[185][18] ; 1 ; 6 ; +; - RAM:inst1|memory[25][18] ; 1 ; 6 ; +; - RAM:inst1|memory[41][18] ; 1 ; 6 ; +; - RAM:inst1|memory[9][18] ; 1 ; 6 ; +; - RAM:inst1|memory[57][18] ; 1 ; 6 ; +; - RAM:inst1|memory[233][18] ; 1 ; 6 ; +; - RAM:inst1|memory[201][18] ; 1 ; 6 ; +; - RAM:inst1|memory[249][18] ; 1 ; 6 ; +; - RAM:inst1|memory[97][18] ; 1 ; 6 ; +; - RAM:inst1|memory[65][18] ; 1 ; 6 ; +; - RAM:inst1|memory[113][18] ; 1 ; 6 ; +; - RAM:inst1|memory[161][18] ; 1 ; 6 ; +; - RAM:inst1|memory[129][18] ; 1 ; 6 ; +; - RAM:inst1|memory[177][18] ; 1 ; 6 ; +; - RAM:inst1|memory[1][18] ; 1 ; 6 ; +; - RAM:inst1|memory[49][18] ; 1 ; 6 ; +; - RAM:inst1|memory[225][18] ; 1 ; 6 ; +; - RAM:inst1|memory[193][18] ; 1 ; 6 ; +; - RAM:inst1|memory[241][18] ; 1 ; 6 ; +; - RAM:inst1|memory[93][18] ; 1 ; 6 ; +; - RAM:inst1|memory[157][18] ; 1 ; 6 ; +; - RAM:inst1|memory[29][18] ; 1 ; 6 ; +; - RAM:inst1|memory[221][18] ; 1 ; 6 ; +; - RAM:inst1|memory[45][18] ; 1 ; 6 ; +; - RAM:inst1|memory[237][18] ; 1 ; 6 ; +; - RAM:inst1|memory[141][18] ; 1 ; 6 ; +; - RAM:inst1|memory[77][18] ; 1 ; 6 ; +; - RAM:inst1|memory[13][18] ; 1 ; 6 ; +; - RAM:inst1|memory[205][18] ; 1 ; 6 ; +; - RAM:inst1|memory[125][18] ; 1 ; 6 ; +; - RAM:inst1|memory[189][18] ; 1 ; 6 ; +; - RAM:inst1|memory[61][18] ; 1 ; 6 ; +; - RAM:inst1|memory[253][18] ; 1 ; 6 ; +; - RAM:inst1|memory[169][2] ; 1 ; 6 ; +; - RAM:inst1|memory[41][2] ; 1 ; 6 ; +; - RAM:inst1|memory[101][2] ; 1 ; 6 ; +; - RAM:inst1|memory[165][2] ; 1 ; 6 ; +; - RAM:inst1|memory[37][2] ; 1 ; 6 ; +; - RAM:inst1|memory[229][2] ; 1 ; 6 ; +; - RAM:inst1|memory[97][2] ; 1 ; 6 ; +; - RAM:inst1|memory[33][2] ; 1 ; 6 ; +; - RAM:inst1|memory[225][2] ; 1 ; 6 ; +; - RAM:inst1|memory[45][2] ; 1 ; 6 ; +; - RAM:inst1|memory[237][2] ; 1 ; 6 ; +; - RAM:inst1|memory[21][2] ; 1 ; 6 ; +; - RAM:inst1|memory[213][2] ; 1 ; 6 ; +; - RAM:inst1|memory[153][2] ; 1 ; 6 ; +; - RAM:inst1|memory[25][2] ; 1 ; 6 ; +; - RAM:inst1|memory[217][2] ; 1 ; 6 ; +; - RAM:inst1|memory[145][2] ; 1 ; 6 ; +; - RAM:inst1|memory[17][2] ; 1 ; 6 ; +; - RAM:inst1|memory[209][2] ; 1 ; 6 ; +; - RAM:inst1|memory[157][2] ; 1 ; 6 ; +; - RAM:inst1|memory[29][2] ; 1 ; 6 ; +; - RAM:inst1|memory[221][2] ; 1 ; 6 ; +; - RAM:inst1|memory[137][2] ; 1 ; 6 ; +; - RAM:inst1|memory[73][2] ; 1 ; 6 ; +; - RAM:inst1|memory[9][2] ; 1 ; 6 ; +; - RAM:inst1|memory[201][2] ; 1 ; 6 ; +; - RAM:inst1|memory[69][2] ; 1 ; 6 ; +; - RAM:inst1|memory[133][2] ; 1 ; 6 ; +; - RAM:inst1|memory[5][2] ; 1 ; 6 ; +; - RAM:inst1|memory[197][2] ; 1 ; 6 ; +; - RAM:inst1|memory[129][2] ; 1 ; 6 ; +; - RAM:inst1|memory[65][2] ; 1 ; 6 ; +; - RAM:inst1|memory[1][2] ; 1 ; 6 ; +; - RAM:inst1|memory[193][2] ; 1 ; 6 ; +; - RAM:inst1|memory[141][2] ; 1 ; 6 ; +; - RAM:inst1|memory[13][2] ; 1 ; 6 ; +; - RAM:inst1|memory[205][2] ; 1 ; 6 ; +; - RAM:inst1|memory[117][2] ; 1 ; 6 ; +; - RAM:inst1|memory[53][2] ; 1 ; 6 ; +; - RAM:inst1|memory[245][2] ; 1 ; 6 ; +; - RAM:inst1|memory[121][2] ; 1 ; 6 ; +; - RAM:inst1|memory[57][2] ; 1 ; 6 ; +; - RAM:inst1|memory[249][2] ; 1 ; 6 ; +; - RAM:inst1|memory[113][2] ; 1 ; 6 ; +; - RAM:inst1|memory[49][2] ; 1 ; 6 ; +; - RAM:inst1|memory[241][2] ; 1 ; 6 ; +; - RAM:inst1|memory[125][2] ; 1 ; 6 ; +; - RAM:inst1|memory[61][2] ; 1 ; 6 ; +; - RAM:inst1|memory[253][2] ; 1 ; 6 ; +; - RAM:inst1|memory[165][26] ; 1 ; 6 ; +; - RAM:inst1|memory[161][26] ; 1 ; 6 ; +; - RAM:inst1|memory[101][26] ; 1 ; 6 ; +; - RAM:inst1|memory[97][26] ; 1 ; 6 ; +; - RAM:inst1|memory[109][26] ; 1 ; 6 ; +; - RAM:inst1|memory[37][26] ; 1 ; 6 ; +; - RAM:inst1|memory[41][26] ; 1 ; 6 ; +; - RAM:inst1|memory[33][26] ; 1 ; 6 ; +; - RAM:inst1|memory[45][26] ; 1 ; 6 ; +; - RAM:inst1|memory[233][26] ; 1 ; 6 ; +; - RAM:inst1|memory[225][26] ; 1 ; 6 ; +; - RAM:inst1|memory[237][26] ; 1 ; 6 ; +; - RAM:inst1|memory[89][26] ; 1 ; 6 ; +; - RAM:inst1|memory[85][26] ; 1 ; 6 ; +; - RAM:inst1|memory[81][26] ; 1 ; 6 ; +; - RAM:inst1|memory[93][26] ; 1 ; 6 ; +; - RAM:inst1|memory[145][26] ; 1 ; 6 ; +; - RAM:inst1|memory[157][26] ; 1 ; 6 ; +; - RAM:inst1|memory[17][26] ; 1 ; 6 ; +; - RAM:inst1|memory[29][26] ; 1 ; 6 ; +; - RAM:inst1|memory[213][26] ; 1 ; 6 ; +; - RAM:inst1|memory[209][26] ; 1 ; 6 ; +; - RAM:inst1|memory[221][26] ; 1 ; 6 ; +; - RAM:inst1|memory[133][26] ; 1 ; 6 ; +; - RAM:inst1|memory[129][26] ; 1 ; 6 ; +; - RAM:inst1|memory[141][26] ; 1 ; 6 ; +; - RAM:inst1|memory[73][26] ; 1 ; 6 ; +; - RAM:inst1|memory[65][26] ; 1 ; 6 ; +; - RAM:inst1|memory[77][26] ; 1 ; 6 ; +; - RAM:inst1|memory[1][26] ; 1 ; 6 ; +; - RAM:inst1|memory[13][26] ; 1 ; 6 ; +; - RAM:inst1|memory[193][26] ; 1 ; 6 ; +; - RAM:inst1|memory[205][26] ; 1 ; 6 ; +; - RAM:inst1|memory[181][26] ; 1 ; 6 ; +; - RAM:inst1|memory[117][26] ; 1 ; 6 ; +; - RAM:inst1|memory[53][26] ; 1 ; 6 ; +; - RAM:inst1|memory[245][26] ; 1 ; 6 ; +; - RAM:inst1|memory[121][26] ; 1 ; 6 ; +; - RAM:inst1|memory[185][26] ; 1 ; 6 ; +; - RAM:inst1|memory[57][26] ; 1 ; 6 ; +; - RAM:inst1|memory[249][26] ; 1 ; 6 ; +; - RAM:inst1|memory[49][26] ; 1 ; 6 ; +; - RAM:inst1|memory[241][26] ; 1 ; 6 ; +; - RAM:inst1|memory[125][26] ; 1 ; 6 ; +; - RAM:inst1|memory[61][26] ; 1 ; 6 ; +; - RAM:inst1|memory[253][26] ; 1 ; 6 ; +; - RAM:inst1|memory[90][10] ; 1 ; 6 ; +; - RAM:inst1|memory[90][2] ; 1 ; 6 ; +; - RAM:inst1|memory[90][26] ; 1 ; 6 ; +; - RAM:inst1|memory[154][18] ; 1 ; 6 ; +; - RAM:inst1|memory[154][10] ; 1 ; 6 ; +; - RAM:inst1|memory[154][2] ; 1 ; 6 ; +; - RAM:inst1|memory[154][26] ; 1 ; 6 ; +; - RAM:inst1|memory[26][18] ; 1 ; 6 ; +; - RAM:inst1|memory[26][10] ; 1 ; 6 ; +; - RAM:inst1|memory[26][2] ; 1 ; 6 ; +; - RAM:inst1|memory[26][26] ; 1 ; 6 ; +; - RAM:inst1|memory[218][10] ; 1 ; 6 ; +; - RAM:inst1|memory[218][2] ; 1 ; 6 ; +; - RAM:inst1|memory[218][26] ; 1 ; 6 ; +; - RAM:inst1|memory[170][18] ; 1 ; 6 ; +; - RAM:inst1|memory[106][18] ; 1 ; 6 ; +; - RAM:inst1|memory[42][18] ; 1 ; 6 ; +; - RAM:inst1|memory[234][18] ; 1 ; 6 ; +; - RAM:inst1|memory[170][10] ; 1 ; 6 ; +; - RAM:inst1|memory[42][10] ; 1 ; 6 ; +; - RAM:inst1|memory[234][10] ; 1 ; 6 ; +; - RAM:inst1|memory[42][2] ; 1 ; 6 ; +; - RAM:inst1|memory[234][2] ; 1 ; 6 ; +; - RAM:inst1|memory[170][26] ; 1 ; 6 ; +; - RAM:inst1|memory[42][26] ; 1 ; 6 ; +; - RAM:inst1|memory[234][26] ; 1 ; 6 ; +; - RAM:inst1|memory[10][10] ; 1 ; 6 ; +; - RAM:inst1|memory[202][10] ; 1 ; 6 ; +; - RAM:inst1|memory[74][18] ; 1 ; 6 ; +; - RAM:inst1|memory[10][18] ; 1 ; 6 ; +; - RAM:inst1|memory[202][18] ; 1 ; 6 ; +; - RAM:inst1|memory[74][2] ; 1 ; 6 ; +; - RAM:inst1|memory[10][2] ; 1 ; 6 ; +; - RAM:inst1|memory[202][2] ; 1 ; 6 ; +; - RAM:inst1|memory[74][26] ; 1 ; 6 ; +; - RAM:inst1|memory[10][26] ; 1 ; 6 ; +; - RAM:inst1|memory[202][26] ; 1 ; 6 ; +; - RAM:inst1|memory[186][18] ; 1 ; 6 ; +; - RAM:inst1|memory[186][2] ; 1 ; 6 ; +; - RAM:inst1|memory[186][26] ; 1 ; 6 ; +; - RAM:inst1|memory[122][18] ; 1 ; 6 ; +; - RAM:inst1|memory[122][2] ; 1 ; 6 ; +; - RAM:inst1|memory[122][26] ; 1 ; 6 ; +; - RAM:inst1|memory[58][18] ; 1 ; 6 ; +; - RAM:inst1|memory[58][2] ; 1 ; 6 ; +; - RAM:inst1|memory[58][26] ; 1 ; 6 ; +; - RAM:inst1|memory[250][2] ; 1 ; 6 ; +; - RAM:inst1|memory[250][26] ; 1 ; 6 ; +; - RAM:inst1|memory[102][18] ; 1 ; 6 ; +; - RAM:inst1|memory[102][2] ; 1 ; 6 ; +; - RAM:inst1|memory[102][26] ; 1 ; 6 ; +; - RAM:inst1|memory[166][18] ; 1 ; 6 ; +; - RAM:inst1|memory[166][2] ; 1 ; 6 ; +; - RAM:inst1|memory[166][26] ; 1 ; 6 ; +; - RAM:inst1|memory[38][10] ; 1 ; 6 ; +; - RAM:inst1|memory[38][18] ; 1 ; 6 ; +; - RAM:inst1|memory[38][2] ; 1 ; 6 ; +; - RAM:inst1|memory[38][26] ; 1 ; 6 ; +; - RAM:inst1|memory[230][18] ; 1 ; 6 ; +; - RAM:inst1|memory[230][10] ; 1 ; 6 ; +; - RAM:inst1|memory[230][2] ; 1 ; 6 ; +; - RAM:inst1|memory[230][26] ; 1 ; 6 ; +; - RAM:inst1|memory[150][10] ; 1 ; 6 ; +; - RAM:inst1|memory[150][2] ; 1 ; 6 ; +; - RAM:inst1|memory[150][26] ; 1 ; 6 ; +; - RAM:inst1|memory[86][18] ; 1 ; 6 ; +; - RAM:inst1|memory[86][10] ; 1 ; 6 ; +; - RAM:inst1|memory[86][2] ; 1 ; 6 ; +; - RAM:inst1|memory[86][26] ; 1 ; 6 ; +; - RAM:inst1|memory[22][10] ; 1 ; 6 ; +; - RAM:inst1|memory[22][2] ; 1 ; 6 ; +; - RAM:inst1|memory[22][26] ; 1 ; 6 ; +; - RAM:inst1|memory[214][10] ; 1 ; 6 ; +; - RAM:inst1|memory[214][2] ; 1 ; 6 ; +; - RAM:inst1|memory[214][26] ; 1 ; 6 ; +; - RAM:inst1|memory[70][10] ; 1 ; 6 ; +; - RAM:inst1|memory[70][2] ; 1 ; 6 ; +; - RAM:inst1|memory[70][26] ; 1 ; 6 ; +; - RAM:inst1|memory[134][10] ; 1 ; 6 ; +; - RAM:inst1|memory[134][18] ; 1 ; 6 ; +; - RAM:inst1|memory[134][2] ; 1 ; 6 ; +; - RAM:inst1|memory[134][26] ; 1 ; 6 ; +; - RAM:inst1|memory[6][10] ; 1 ; 6 ; +; - RAM:inst1|memory[6][18] ; 1 ; 6 ; +; - RAM:inst1|memory[6][2] ; 1 ; 6 ; +; - RAM:inst1|memory[6][26] ; 1 ; 6 ; +; - RAM:inst1|memory[198][18] ; 1 ; 6 ; +; - RAM:inst1|memory[198][10] ; 1 ; 6 ; +; - RAM:inst1|memory[198][2] ; 1 ; 6 ; +; - RAM:inst1|memory[198][26] ; 1 ; 6 ; +; - RAM:inst1|memory[182][10] ; 1 ; 6 ; +; - RAM:inst1|memory[182][2] ; 1 ; 6 ; +; - RAM:inst1|memory[182][26] ; 1 ; 6 ; +; - RAM:inst1|memory[118][2] ; 1 ; 6 ; +; - RAM:inst1|memory[118][26] ; 1 ; 6 ; +; - RAM:inst1|memory[54][18] ; 1 ; 6 ; +; - RAM:inst1|memory[54][2] ; 1 ; 6 ; +; - RAM:inst1|memory[54][26] ; 1 ; 6 ; +; - RAM:inst1|memory[246][18] ; 1 ; 6 ; +; - RAM:inst1|memory[246][2] ; 1 ; 6 ; +; - RAM:inst1|memory[246][26] ; 1 ; 6 ; +; - RAM:inst1|memory[146][10] ; 1 ; 6 ; +; - RAM:inst1|memory[146][2] ; 1 ; 6 ; +; - RAM:inst1|memory[146][26] ; 1 ; 6 ; +; - RAM:inst1|memory[82][18] ; 1 ; 6 ; +; - RAM:inst1|memory[82][10] ; 1 ; 6 ; +; - RAM:inst1|memory[82][2] ; 1 ; 6 ; +; - RAM:inst1|memory[82][26] ; 1 ; 6 ; +; - RAM:inst1|memory[18][2] ; 1 ; 6 ; +; - RAM:inst1|memory[18][26] ; 1 ; 6 ; +; - RAM:inst1|memory[210][18] ; 1 ; 6 ; +; - RAM:inst1|memory[210][2] ; 1 ; 6 ; +; - RAM:inst1|memory[210][26] ; 1 ; 6 ; +; - RAM:inst1|memory[98][18] ; 1 ; 6 ; +; - RAM:inst1|memory[98][2] ; 1 ; 6 ; +; - RAM:inst1|memory[98][26] ; 1 ; 6 ; +; - RAM:inst1|memory[162][10] ; 1 ; 6 ; +; - RAM:inst1|memory[162][18] ; 1 ; 6 ; +; - RAM:inst1|memory[162][2] ; 1 ; 6 ; +; - RAM:inst1|memory[162][26] ; 1 ; 6 ; +; - RAM:inst1|memory[34][10] ; 1 ; 6 ; +; - RAM:inst1|memory[34][18] ; 1 ; 6 ; +; - RAM:inst1|memory[34][2] ; 1 ; 6 ; +; - RAM:inst1|memory[34][26] ; 1 ; 6 ; +; - RAM:inst1|memory[226][18] ; 1 ; 6 ; +; - RAM:inst1|memory[226][10] ; 1 ; 6 ; +; - RAM:inst1|memory[226][2] ; 1 ; 6 ; +; - RAM:inst1|memory[226][26] ; 1 ; 6 ; +; - RAM:inst1|memory[66][18] ; 1 ; 6 ; +; - RAM:inst1|memory[66][2] ; 1 ; 6 ; +; - RAM:inst1|memory[66][26] ; 1 ; 6 ; +; - RAM:inst1|memory[130][10] ; 1 ; 6 ; +; - RAM:inst1|memory[130][18] ; 1 ; 6 ; +; - RAM:inst1|memory[130][2] ; 1 ; 6 ; +; - RAM:inst1|memory[130][26] ; 1 ; 6 ; +; - RAM:inst1|memory[2][10] ; 1 ; 6 ; +; - RAM:inst1|memory[2][18] ; 1 ; 6 ; +; - RAM:inst1|memory[2][2] ; 1 ; 6 ; +; - RAM:inst1|memory[2][26] ; 1 ; 6 ; +; - RAM:inst1|memory[194][18] ; 1 ; 6 ; +; - RAM:inst1|memory[194][2] ; 1 ; 6 ; +; - RAM:inst1|memory[194][26] ; 1 ; 6 ; +; - RAM:inst1|memory[178][18] ; 1 ; 6 ; +; - RAM:inst1|memory[178][2] ; 1 ; 6 ; +; - RAM:inst1|memory[178][26] ; 1 ; 6 ; +; - RAM:inst1|memory[114][18] ; 1 ; 6 ; +; - RAM:inst1|memory[114][2] ; 1 ; 6 ; +; - RAM:inst1|memory[114][26] ; 1 ; 6 ; +; - RAM:inst1|memory[50][2] ; 1 ; 6 ; +; - RAM:inst1|memory[50][26] ; 1 ; 6 ; +; - RAM:inst1|memory[242][2] ; 1 ; 6 ; +; - RAM:inst1|memory[242][26] ; 1 ; 6 ; +; - RAM:inst1|memory[94][18] ; 1 ; 6 ; +; - RAM:inst1|memory[110][18] ; 1 ; 6 ; +; - RAM:inst1|memory[78][18] ; 1 ; 6 ; +; - RAM:inst1|memory[126][18] ; 1 ; 6 ; +; - RAM:inst1|memory[174][18] ; 1 ; 6 ; +; - RAM:inst1|memory[142][18] ; 1 ; 6 ; +; - RAM:inst1|memory[190][18] ; 1 ; 6 ; +; - RAM:inst1|memory[46][18] ; 1 ; 6 ; +; - RAM:inst1|memory[14][18] ; 1 ; 6 ; +; - RAM:inst1|memory[62][18] ; 1 ; 6 ; +; - RAM:inst1|memory[238][18] ; 1 ; 6 ; +; - RAM:inst1|memory[206][18] ; 1 ; 6 ; +; - RAM:inst1|memory[254][18] ; 1 ; 6 ; +; - RAM:inst1|memory[174][10] ; 1 ; 6 ; +; - RAM:inst1|memory[142][10] ; 1 ; 6 ; +; - RAM:inst1|memory[190][10] ; 1 ; 6 ; +; - RAM:inst1|memory[110][10] ; 1 ; 6 ; +; - RAM:inst1|memory[78][10] ; 1 ; 6 ; +; - RAM:inst1|memory[126][10] ; 1 ; 6 ; +; - RAM:inst1|memory[46][10] ; 1 ; 6 ; +; - RAM:inst1|memory[14][10] ; 1 ; 6 ; +; - RAM:inst1|memory[62][10] ; 1 ; 6 ; +; - RAM:inst1|memory[206][10] ; 1 ; 6 ; +; - RAM:inst1|memory[254][10] ; 1 ; 6 ; +; - RAM:inst1|memory[94][2] ; 1 ; 6 ; +; - RAM:inst1|memory[110][2] ; 1 ; 6 ; +; - RAM:inst1|memory[78][2] ; 1 ; 6 ; +; - RAM:inst1|memory[126][2] ; 1 ; 6 ; +; - RAM:inst1|memory[174][2] ; 1 ; 6 ; +; - RAM:inst1|memory[158][2] ; 1 ; 6 ; +; - RAM:inst1|memory[142][2] ; 1 ; 6 ; +; - RAM:inst1|memory[190][2] ; 1 ; 6 ; +; - RAM:inst1|memory[46][2] ; 1 ; 6 ; +; - RAM:inst1|memory[14][2] ; 1 ; 6 ; +; - RAM:inst1|memory[62][2] ; 1 ; 6 ; +; - RAM:inst1|memory[238][2] ; 1 ; 6 ; +; - RAM:inst1|memory[206][2] ; 1 ; 6 ; +; - RAM:inst1|memory[254][2] ; 1 ; 6 ; +; - RAM:inst1|memory[174][26] ; 1 ; 6 ; +; - RAM:inst1|memory[158][26] ; 1 ; 6 ; +; - RAM:inst1|memory[142][26] ; 1 ; 6 ; +; - RAM:inst1|memory[190][26] ; 1 ; 6 ; +; - RAM:inst1|memory[94][26] ; 1 ; 6 ; +; - RAM:inst1|memory[110][26] ; 1 ; 6 ; +; - RAM:inst1|memory[78][26] ; 1 ; 6 ; +; - RAM:inst1|memory[126][26] ; 1 ; 6 ; +; - RAM:inst1|memory[46][26] ; 1 ; 6 ; +; - RAM:inst1|memory[14][26] ; 1 ; 6 ; +; - RAM:inst1|memory[62][26] ; 1 ; 6 ; +; - RAM:inst1|memory[222][26] ; 1 ; 6 ; +; - RAM:inst1|memory[238][26] ; 1 ; 6 ; +; - RAM:inst1|memory[206][26] ; 1 ; 6 ; +; - RAM:inst1|memory[254][26] ; 1 ; 6 ; +; - RAM:inst1|memory[100][10] ; 1 ; 6 ; +; - RAM:inst1|memory[36][10] ; 1 ; 6 ; +; - RAM:inst1|memory[164][18] ; 1 ; 6 ; +; - RAM:inst1|memory[100][18] ; 1 ; 6 ; +; - RAM:inst1|memory[36][18] ; 1 ; 6 ; +; - RAM:inst1|memory[228][18] ; 1 ; 6 ; +; - RAM:inst1|memory[164][2] ; 1 ; 6 ; +; - RAM:inst1|memory[100][2] ; 1 ; 6 ; +; - RAM:inst1|memory[36][2] ; 1 ; 6 ; +; - RAM:inst1|memory[228][2] ; 1 ; 6 ; +; - RAM:inst1|memory[36][26] ; 1 ; 6 ; +; - RAM:inst1|memory[228][26] ; 1 ; 6 ; +; - RAM:inst1|memory[148][18] ; 1 ; 6 ; +; - RAM:inst1|memory[84][18] ; 1 ; 6 ; +; - RAM:inst1|memory[20][18] ; 1 ; 6 ; +; - RAM:inst1|memory[212][18] ; 1 ; 6 ; +; - RAM:inst1|memory[84][10] ; 1 ; 6 ; +; - RAM:inst1|memory[148][10] ; 1 ; 6 ; +; - RAM:inst1|memory[20][10] ; 1 ; 6 ; +; - RAM:inst1|memory[212][10] ; 1 ; 6 ; +; - RAM:inst1|memory[148][2] ; 1 ; 6 ; +; - RAM:inst1|memory[84][2] ; 1 ; 6 ; +; - RAM:inst1|memory[20][2] ; 1 ; 6 ; +; - RAM:inst1|memory[212][2] ; 1 ; 6 ; +; - RAM:inst1|memory[84][26] ; 1 ; 6 ; +; - RAM:inst1|memory[148][26] ; 1 ; 6 ; +; - RAM:inst1|memory[20][26] ; 1 ; 6 ; +; - RAM:inst1|memory[212][26] ; 1 ; 6 ; +; - RAM:inst1|memory[4][10] ; 1 ; 6 ; +; - RAM:inst1|memory[196][10] ; 1 ; 6 ; +; - RAM:inst1|memory[132][18] ; 1 ; 6 ; +; - RAM:inst1|memory[4][18] ; 1 ; 6 ; +; - RAM:inst1|memory[196][18] ; 1 ; 6 ; +; - RAM:inst1|memory[4][2] ; 1 ; 6 ; +; - RAM:inst1|memory[196][2] ; 1 ; 6 ; +; - RAM:inst1|memory[132][26] ; 1 ; 6 ; +; - RAM:inst1|memory[4][26] ; 1 ; 6 ; +; - RAM:inst1|memory[196][26] ; 1 ; 6 ; +; - RAM:inst1|memory[180][18] ; 1 ; 6 ; +; - RAM:inst1|memory[116][18] ; 1 ; 6 ; +; - RAM:inst1|memory[52][18] ; 1 ; 6 ; +; - RAM:inst1|memory[244][18] ; 1 ; 6 ; +; - RAM:inst1|memory[116][10] ; 1 ; 6 ; +; - RAM:inst1|memory[180][10] ; 1 ; 6 ; +; - RAM:inst1|memory[52][10] ; 1 ; 6 ; +; - RAM:inst1|memory[244][10] ; 1 ; 6 ; +; - RAM:inst1|memory[180][2] ; 1 ; 6 ; +; - RAM:inst1|memory[52][2] ; 1 ; 6 ; +; - RAM:inst1|memory[244][2] ; 1 ; 6 ; +; - RAM:inst1|memory[116][26] ; 1 ; 6 ; +; - RAM:inst1|memory[180][26] ; 1 ; 6 ; +; - RAM:inst1|memory[52][26] ; 1 ; 6 ; +; - RAM:inst1|memory[244][26] ; 1 ; 6 ; +; - RAM:inst1|memory[152][18] ; 1 ; 6 ; +; - RAM:inst1|memory[88][18] ; 1 ; 6 ; +; - RAM:inst1|memory[24][18] ; 1 ; 6 ; +; - RAM:inst1|memory[216][18] ; 1 ; 6 ; +; - RAM:inst1|memory[152][10] ; 1 ; 6 ; +; - RAM:inst1|memory[24][10] ; 1 ; 6 ; +; - RAM:inst1|memory[216][10] ; 1 ; 6 ; +; - RAM:inst1|memory[152][2] ; 1 ; 6 ; +; - RAM:inst1|memory[88][2] ; 1 ; 6 ; +; - RAM:inst1|memory[24][2] ; 1 ; 6 ; +; - RAM:inst1|memory[216][2] ; 1 ; 6 ; +; - RAM:inst1|memory[88][26] ; 1 ; 6 ; +; - RAM:inst1|memory[152][26] ; 1 ; 6 ; +; - RAM:inst1|memory[24][26] ; 1 ; 6 ; +; - RAM:inst1|memory[216][26] ; 1 ; 6 ; +; - RAM:inst1|memory[168][10] ; 1 ; 6 ; +; - RAM:inst1|memory[40][10] ; 1 ; 6 ; +; - RAM:inst1|memory[232][10] ; 1 ; 6 ; +; - RAM:inst1|memory[168][18] ; 1 ; 6 ; +; - RAM:inst1|memory[104][18] ; 1 ; 6 ; +; - RAM:inst1|memory[40][18] ; 1 ; 6 ; +; - RAM:inst1|memory[232][18] ; 1 ; 6 ; +; - RAM:inst1|memory[168][2] ; 1 ; 6 ; +; - RAM:inst1|memory[104][2] ; 1 ; 6 ; +; - RAM:inst1|memory[40][2] ; 1 ; 6 ; +; - RAM:inst1|memory[232][2] ; 1 ; 6 ; +; - RAM:inst1|memory[104][26] ; 1 ; 6 ; +; - RAM:inst1|memory[40][26] ; 1 ; 6 ; +; - RAM:inst1|memory[232][26] ; 1 ; 6 ; +; - RAM:inst1|memory[136][10] ; 1 ; 6 ; +; - RAM:inst1|memory[8][10] ; 1 ; 6 ; +; - RAM:inst1|memory[200][10] ; 1 ; 6 ; +; - RAM:inst1|memory[136][18] ; 1 ; 6 ; +; - RAM:inst1|memory[8][18] ; 1 ; 6 ; +; - RAM:inst1|memory[200][18] ; 1 ; 6 ; +; - RAM:inst1|memory[136][2] ; 1 ; 6 ; +; - RAM:inst1|memory[72][2] ; 1 ; 6 ; +; - RAM:inst1|memory[8][2] ; 1 ; 6 ; +; - RAM:inst1|memory[200][2] ; 1 ; 6 ; +; - RAM:inst1|memory[8][26] ; 1 ; 6 ; +; - RAM:inst1|memory[200][26] ; 1 ; 6 ; +; - RAM:inst1|memory[184][18] ; 1 ; 6 ; +; - RAM:inst1|memory[120][18] ; 1 ; 6 ; +; - RAM:inst1|memory[56][18] ; 1 ; 6 ; +; - RAM:inst1|memory[248][18] ; 1 ; 6 ; +; - RAM:inst1|memory[184][10] ; 1 ; 6 ; +; - RAM:inst1|memory[56][10] ; 1 ; 6 ; +; - RAM:inst1|memory[248][10] ; 1 ; 6 ; +; - RAM:inst1|memory[184][2] ; 1 ; 6 ; +; - RAM:inst1|memory[120][2] ; 1 ; 6 ; +; - RAM:inst1|memory[56][2] ; 1 ; 6 ; +; - RAM:inst1|memory[248][2] ; 1 ; 6 ; +; - RAM:inst1|memory[120][26] ; 1 ; 6 ; +; - RAM:inst1|memory[184][26] ; 1 ; 6 ; +; - RAM:inst1|memory[56][26] ; 1 ; 6 ; +; - RAM:inst1|memory[248][26] ; 1 ; 6 ; +; - RAM:inst1|memory[80][18] ; 1 ; 6 ; +; - RAM:inst1|memory[16][18] ; 1 ; 6 ; +; - RAM:inst1|memory[208][18] ; 1 ; 6 ; +; - RAM:inst1|memory[80][10] ; 1 ; 6 ; +; - RAM:inst1|memory[144][10] ; 1 ; 6 ; +; - RAM:inst1|memory[16][10] ; 1 ; 6 ; +; - RAM:inst1|memory[208][10] ; 1 ; 6 ; +; - RAM:inst1|memory[80][2] ; 1 ; 6 ; +; - RAM:inst1|memory[16][2] ; 1 ; 6 ; +; - RAM:inst1|memory[208][2] ; 1 ; 6 ; +; - RAM:inst1|memory[80][26] ; 1 ; 6 ; +; - RAM:inst1|memory[16][26] ; 1 ; 6 ; +; - RAM:inst1|memory[208][26] ; 1 ; 6 ; +; - RAM:inst1|memory[32][10] ; 1 ; 6 ; +; - RAM:inst1|memory[224][10] ; 1 ; 6 ; +; - RAM:inst1|memory[160][18] ; 1 ; 6 ; +; - RAM:inst1|memory[96][18] ; 1 ; 6 ; +; - RAM:inst1|memory[32][18] ; 1 ; 6 ; +; - RAM:inst1|memory[224][18] ; 1 ; 6 ; +; - RAM:inst1|memory[160][2] ; 1 ; 6 ; +; - RAM:inst1|memory[32][2] ; 1 ; 6 ; +; - RAM:inst1|memory[224][2] ; 1 ; 6 ; +; - RAM:inst1|memory[96][26] ; 1 ; 6 ; +; - RAM:inst1|memory[32][26] ; 1 ; 6 ; +; - RAM:inst1|memory[224][26] ; 1 ; 6 ; +; - RAM:inst1|memory[128][10] ; 1 ; 6 ; +; - RAM:inst1|memory[0][10] ; 1 ; 6 ; +; - RAM:inst1|memory[192][10] ; 1 ; 6 ; +; - RAM:inst1|memory[128][18] ; 1 ; 6 ; +; - RAM:inst1|memory[0][18] ; 1 ; 6 ; +; - RAM:inst1|memory[192][18] ; 1 ; 6 ; +; - RAM:inst1|memory[128][2] ; 1 ; 6 ; +; - RAM:inst1|memory[0][2] ; 1 ; 6 ; +; - RAM:inst1|memory[192][2] ; 1 ; 6 ; +; - RAM:inst1|memory[128][26] ; 1 ; 6 ; +; - RAM:inst1|memory[0][26] ; 1 ; 6 ; +; - RAM:inst1|memory[192][26] ; 1 ; 6 ; +; - RAM:inst1|memory[176][18] ; 1 ; 6 ; +; - RAM:inst1|memory[48][18] ; 1 ; 6 ; +; - RAM:inst1|memory[240][18] ; 1 ; 6 ; +; - RAM:inst1|memory[176][10] ; 1 ; 6 ; +; - RAM:inst1|memory[48][10] ; 1 ; 6 ; +; - RAM:inst1|memory[240][10] ; 1 ; 6 ; +; - RAM:inst1|memory[176][2] ; 1 ; 6 ; +; - RAM:inst1|memory[48][2] ; 1 ; 6 ; +; - RAM:inst1|memory[240][2] ; 1 ; 6 ; +; - RAM:inst1|memory[176][26] ; 1 ; 6 ; +; - RAM:inst1|memory[48][26] ; 1 ; 6 ; +; - RAM:inst1|memory[240][26] ; 1 ; 6 ; +; - RAM:inst1|memory[108][10] ; 1 ; 6 ; +; - RAM:inst1|memory[44][10] ; 1 ; 6 ; +; - RAM:inst1|memory[236][10] ; 1 ; 6 ; +; - RAM:inst1|memory[92][10] ; 1 ; 6 ; +; - RAM:inst1|memory[28][10] ; 1 ; 6 ; +; - RAM:inst1|memory[220][10] ; 1 ; 6 ; +; - RAM:inst1|memory[76][10] ; 1 ; 6 ; +; - RAM:inst1|memory[12][10] ; 1 ; 6 ; +; - RAM:inst1|memory[204][10] ; 1 ; 6 ; +; - RAM:inst1|memory[60][10] ; 1 ; 6 ; +; - RAM:inst1|memory[252][10] ; 1 ; 6 ; +; - RAM:inst1|memory[156][18] ; 1 ; 6 ; +; - RAM:inst1|memory[28][18] ; 1 ; 6 ; +; - RAM:inst1|memory[220][18] ; 1 ; 6 ; +; - RAM:inst1|memory[172][18] ; 1 ; 6 ; +; - RAM:inst1|memory[108][18] ; 1 ; 6 ; +; - RAM:inst1|memory[44][18] ; 1 ; 6 ; +; - RAM:inst1|memory[236][18] ; 1 ; 6 ; +; - RAM:inst1|memory[140][18] ; 1 ; 6 ; +; - RAM:inst1|memory[12][18] ; 1 ; 6 ; +; - RAM:inst1|memory[204][18] ; 1 ; 6 ; +; - RAM:inst1|memory[188][18] ; 1 ; 6 ; +; - RAM:inst1|memory[60][18] ; 1 ; 6 ; +; - RAM:inst1|memory[252][18] ; 1 ; 6 ; +; - RAM:inst1|memory[156][2] ; 1 ; 6 ; +; - RAM:inst1|memory[28][2] ; 1 ; 6 ; +; - RAM:inst1|memory[220][2] ; 1 ; 6 ; +; - RAM:inst1|memory[172][2] ; 1 ; 6 ; +; - RAM:inst1|memory[108][2] ; 1 ; 6 ; +; - RAM:inst1|memory[44][2] ; 1 ; 6 ; +; - RAM:inst1|memory[236][2] ; 1 ; 6 ; +; - RAM:inst1|memory[140][2] ; 1 ; 6 ; +; - RAM:inst1|memory[76][2] ; 1 ; 6 ; +; - RAM:inst1|memory[12][2] ; 1 ; 6 ; +; - RAM:inst1|memory[204][2] ; 1 ; 6 ; +; - RAM:inst1|memory[124][2] ; 1 ; 6 ; +; - RAM:inst1|memory[188][2] ; 1 ; 6 ; +; - RAM:inst1|memory[60][2] ; 1 ; 6 ; +; - RAM:inst1|memory[252][2] ; 1 ; 6 ; +; - RAM:inst1|memory[172][26] ; 1 ; 6 ; +; - RAM:inst1|memory[108][26] ; 1 ; 6 ; +; - RAM:inst1|memory[44][26] ; 1 ; 6 ; +; - RAM:inst1|memory[236][26] ; 1 ; 6 ; +; - RAM:inst1|memory[92][26] ; 1 ; 6 ; +; - RAM:inst1|memory[156][26] ; 1 ; 6 ; +; - RAM:inst1|memory[28][26] ; 1 ; 6 ; +; - RAM:inst1|memory[220][26] ; 1 ; 6 ; +; - RAM:inst1|memory[140][26] ; 1 ; 6 ; +; - RAM:inst1|memory[12][26] ; 1 ; 6 ; +; - RAM:inst1|memory[204][26] ; 1 ; 6 ; +; - RAM:inst1|memory[124][26] ; 1 ; 6 ; +; - RAM:inst1|memory[188][26] ; 1 ; 6 ; +; - RAM:inst1|memory[60][26] ; 1 ; 6 ; +; - RAM:inst1|memory[252][26] ; 1 ; 6 ; +; - RAM:inst1|memory[171][18] ; 1 ; 6 ; +; - RAM:inst1|memory[139][18] ; 1 ; 6 ; +; - RAM:inst1|memory[187][18] ; 1 ; 6 ; +; - RAM:inst1|memory[151][18] ; 1 ; 6 ; +; - RAM:inst1|memory[167][18] ; 1 ; 6 ; +; - RAM:inst1|memory[135][18] ; 1 ; 6 ; +; - RAM:inst1|memory[183][18] ; 1 ; 6 ; +; - RAM:inst1|memory[131][18] ; 1 ; 6 ; +; - RAM:inst1|memory[179][18] ; 1 ; 6 ; +; - RAM:inst1|memory[175][18] ; 1 ; 6 ; +; - RAM:inst1|memory[143][18] ; 1 ; 6 ; +; - RAM:inst1|memory[191][18] ; 1 ; 6 ; +; - RAM:inst1|memory[87][18] ; 1 ; 6 ; +; - RAM:inst1|memory[71][18] ; 1 ; 6 ; +; - RAM:inst1|memory[119][18] ; 1 ; 6 ; +; - RAM:inst1|memory[107][18] ; 1 ; 6 ; +; - RAM:inst1|memory[91][18] ; 1 ; 6 ; +; - RAM:inst1|memory[75][18] ; 1 ; 6 ; +; - RAM:inst1|memory[123][18] ; 1 ; 6 ; +; - RAM:inst1|memory[99][18] ; 1 ; 6 ; +; - RAM:inst1|memory[67][18] ; 1 ; 6 ; +; - RAM:inst1|memory[115][18] ; 1 ; 6 ; +; - RAM:inst1|memory[95][18] ; 1 ; 6 ; +; - RAM:inst1|memory[111][18] ; 1 ; 6 ; +; - RAM:inst1|memory[79][18] ; 1 ; 6 ; +; - RAM:inst1|memory[127][18] ; 1 ; 6 ; +; - RAM:inst1|memory[43][18] ; 1 ; 6 ; +; - RAM:inst1|memory[11][18] ; 1 ; 6 ; +; - RAM:inst1|memory[59][18] ; 1 ; 6 ; +; - RAM:inst1|memory[39][18] ; 1 ; 6 ; +; - RAM:inst1|memory[7][18] ; 1 ; 6 ; +; - RAM:inst1|memory[55][18] ; 1 ; 6 ; +; - RAM:inst1|memory[3][18] ; 1 ; 6 ; +; - RAM:inst1|memory[51][18] ; 1 ; 6 ; +; - RAM:inst1|memory[31][18] ; 1 ; 6 ; +; - RAM:inst1|memory[47][18] ; 1 ; 6 ; +; - RAM:inst1|memory[15][18] ; 1 ; 6 ; +; - RAM:inst1|memory[63][18] ; 1 ; 6 ; +; - RAM:inst1|memory[231][18] ; 1 ; 6 ; +; - RAM:inst1|memory[199][18] ; 1 ; 6 ; +; - RAM:inst1|memory[247][18] ; 1 ; 6 ; +; - RAM:inst1|memory[235][18] ; 1 ; 6 ; +; - RAM:inst1|memory[203][18] ; 1 ; 6 ; +; - RAM:inst1|memory[251][18] ; 1 ; 6 ; +; - RAM:inst1|memory[211][18] ; 1 ; 6 ; +; - RAM:inst1|memory[195][18] ; 1 ; 6 ; +; - RAM:inst1|memory[243][18] ; 1 ; 6 ; +; - RAM:inst1|memory[239][18] ; 1 ; 6 ; +; - RAM:inst1|memory[207][18] ; 1 ; 6 ; +; - RAM:inst1|memory[255][18] ; 1 ; 6 ; +; - RAM:inst1|memory[147][10] ; 1 ; 6 ; +; - RAM:inst1|memory[159][10] ; 1 ; 6 ; +; - RAM:inst1|memory[87][10] ; 1 ; 6 ; +; - RAM:inst1|memory[91][10] ; 1 ; 6 ; +; - RAM:inst1|memory[83][10] ; 1 ; 6 ; +; - RAM:inst1|memory[95][10] ; 1 ; 6 ; +; - RAM:inst1|memory[27][10] ; 1 ; 6 ; +; - RAM:inst1|memory[23][10] ; 1 ; 6 ; +; - RAM:inst1|memory[19][10] ; 1 ; 6 ; +; - RAM:inst1|memory[31][10] ; 1 ; 6 ; +; - RAM:inst1|memory[211][10] ; 1 ; 6 ; +; - RAM:inst1|memory[223][10] ; 1 ; 6 ; +; - RAM:inst1|memory[107][10] ; 1 ; 6 ; +; - RAM:inst1|memory[99][10] ; 1 ; 6 ; +; - RAM:inst1|memory[111][10] ; 1 ; 6 ; +; - RAM:inst1|memory[171][10] ; 1 ; 6 ; +; - RAM:inst1|memory[167][10] ; 1 ; 6 ; +; - RAM:inst1|memory[163][10] ; 1 ; 6 ; +; - RAM:inst1|memory[175][10] ; 1 ; 6 ; +; - RAM:inst1|memory[43][10] ; 1 ; 6 ; +; - RAM:inst1|memory[35][10] ; 1 ; 6 ; +; - RAM:inst1|memory[47][10] ; 1 ; 6 ; +; - RAM:inst1|memory[231][10] ; 1 ; 6 ; +; - RAM:inst1|memory[227][10] ; 1 ; 6 ; +; - RAM:inst1|memory[239][10] ; 1 ; 6 ; +; - RAM:inst1|memory[71][10] ; 1 ; 6 ; +; - RAM:inst1|memory[75][10] ; 1 ; 6 ; +; - RAM:inst1|memory[67][10] ; 1 ; 6 ; +; - RAM:inst1|memory[79][10] ; 1 ; 6 ; +; - RAM:inst1|memory[139][10] ; 1 ; 6 ; +; - RAM:inst1|memory[131][10] ; 1 ; 6 ; +; - RAM:inst1|memory[143][10] ; 1 ; 6 ; +; - RAM:inst1|memory[11][10] ; 1 ; 6 ; +; - RAM:inst1|memory[7][10] ; 1 ; 6 ; +; - RAM:inst1|memory[3][10] ; 1 ; 6 ; +; - RAM:inst1|memory[15][10] ; 1 ; 6 ; +; - RAM:inst1|memory[203][10] ; 1 ; 6 ; +; - RAM:inst1|memory[195][10] ; 1 ; 6 ; +; - RAM:inst1|memory[207][10] ; 1 ; 6 ; +; - RAM:inst1|memory[187][10] ; 1 ; 6 ; +; - RAM:inst1|memory[179][10] ; 1 ; 6 ; +; - RAM:inst1|memory[191][10] ; 1 ; 6 ; +; - RAM:inst1|memory[119][10] ; 1 ; 6 ; +; - RAM:inst1|memory[115][10] ; 1 ; 6 ; +; - RAM:inst1|memory[127][10] ; 1 ; 6 ; +; - RAM:inst1|memory[59][10] ; 1 ; 6 ; +; - RAM:inst1|memory[55][10] ; 1 ; 6 ; +; - RAM:inst1|memory[51][10] ; 1 ; 6 ; +; - RAM:inst1|memory[63][10] ; 1 ; 6 ; +; - RAM:inst1|memory[243][10] ; 1 ; 6 ; +; - RAM:inst1|memory[255][10] ; 1 ; 6 ; +; - RAM:inst1|memory[103][2] ; 1 ; 6 ; +; - RAM:inst1|memory[107][2] ; 1 ; 6 ; +; - RAM:inst1|memory[99][2] ; 1 ; 6 ; +; - RAM:inst1|memory[111][2] ; 1 ; 6 ; +; - RAM:inst1|memory[167][2] ; 1 ; 6 ; +; - RAM:inst1|memory[163][2] ; 1 ; 6 ; +; - RAM:inst1|memory[175][2] ; 1 ; 6 ; +; - RAM:inst1|memory[43][2] ; 1 ; 6 ; +; - RAM:inst1|memory[39][2] ; 1 ; 6 ; +; - RAM:inst1|memory[35][2] ; 1 ; 6 ; +; - RAM:inst1|memory[47][2] ; 1 ; 6 ; +; - RAM:inst1|memory[231][2] ; 1 ; 6 ; +; - RAM:inst1|memory[227][2] ; 1 ; 6 ; +; - RAM:inst1|memory[239][2] ; 1 ; 6 ; +; - RAM:inst1|memory[151][2] ; 1 ; 6 ; +; - RAM:inst1|memory[147][2] ; 1 ; 6 ; +; - RAM:inst1|memory[159][2] ; 1 ; 6 ; +; - RAM:inst1|memory[87][2] ; 1 ; 6 ; +; - RAM:inst1|memory[83][2] ; 1 ; 6 ; +; - RAM:inst1|memory[95][2] ; 1 ; 6 ; +; - RAM:inst1|memory[27][2] ; 1 ; 6 ; +; - RAM:inst1|memory[19][2] ; 1 ; 6 ; +; - RAM:inst1|memory[31][2] ; 1 ; 6 ; +; - RAM:inst1|memory[215][2] ; 1 ; 6 ; +; - RAM:inst1|memory[211][2] ; 1 ; 6 ; +; - RAM:inst1|memory[223][2] ; 1 ; 6 ; +; - RAM:inst1|memory[75][2] ; 1 ; 6 ; +; - RAM:inst1|memory[67][2] ; 1 ; 6 ; +; - RAM:inst1|memory[79][2] ; 1 ; 6 ; +; - RAM:inst1|memory[135][2] ; 1 ; 6 ; +; - RAM:inst1|memory[131][2] ; 1 ; 6 ; +; - RAM:inst1|memory[143][2] ; 1 ; 6 ; +; - RAM:inst1|memory[11][2] ; 1 ; 6 ; +; - RAM:inst1|memory[7][2] ; 1 ; 6 ; +; - RAM:inst1|memory[3][2] ; 1 ; 6 ; +; - RAM:inst1|memory[15][2] ; 1 ; 6 ; +; - RAM:inst1|memory[195][2] ; 1 ; 6 ; +; - RAM:inst1|memory[207][2] ; 1 ; 6 ; +; - RAM:inst1|memory[187][2] ; 1 ; 6 ; +; - RAM:inst1|memory[179][2] ; 1 ; 6 ; +; - RAM:inst1|memory[191][2] ; 1 ; 6 ; +; - RAM:inst1|memory[119][2] ; 1 ; 6 ; +; - RAM:inst1|memory[123][2] ; 1 ; 6 ; +; - RAM:inst1|memory[115][2] ; 1 ; 6 ; +; - RAM:inst1|memory[127][2] ; 1 ; 6 ; +; - RAM:inst1|memory[59][2] ; 1 ; 6 ; +; - RAM:inst1|memory[51][2] ; 1 ; 6 ; +; - RAM:inst1|memory[63][2] ; 1 ; 6 ; +; - RAM:inst1|memory[243][2] ; 1 ; 6 ; +; - RAM:inst1|memory[255][2] ; 1 ; 6 ; +; - RAM:inst1|memory[103][26] ; 1 ; 6 ; +; - RAM:inst1|memory[99][26] ; 1 ; 6 ; +; - RAM:inst1|memory[111][26] ; 1 ; 6 ; +; - RAM:inst1|memory[163][26] ; 1 ; 6 ; +; - RAM:inst1|memory[175][26] ; 1 ; 6 ; +; - RAM:inst1|memory[43][26] ; 1 ; 6 ; +; - RAM:inst1|memory[39][26] ; 1 ; 6 ; +; - RAM:inst1|memory[35][26] ; 1 ; 6 ; +; - RAM:inst1|memory[47][26] ; 1 ; 6 ; +; - RAM:inst1|memory[231][26] ; 1 ; 6 ; +; - RAM:inst1|memory[235][26] ; 1 ; 6 ; +; - RAM:inst1|memory[227][26] ; 1 ; 6 ; +; - RAM:inst1|memory[239][26] ; 1 ; 6 ; +; - RAM:inst1|memory[151][26] ; 1 ; 6 ; +; - RAM:inst1|memory[87][26] ; 1 ; 6 ; +; - RAM:inst1|memory[23][26] ; 1 ; 6 ; +; - RAM:inst1|memory[215][26] ; 1 ; 6 ; +; - RAM:inst1|memory[27][26] ; 1 ; 6 ; +; - RAM:inst1|memory[219][26] ; 1 ; 6 ; +; - RAM:inst1|memory[83][26] ; 1 ; 6 ; +; - RAM:inst1|memory[147][26] ; 1 ; 6 ; +; - RAM:inst1|memory[19][26] ; 1 ; 6 ; +; - RAM:inst1|memory[211][26] ; 1 ; 6 ; +; - RAM:inst1|memory[159][26] ; 1 ; 6 ; +; - RAM:inst1|memory[95][26] ; 1 ; 6 ; +; - RAM:inst1|memory[31][26] ; 1 ; 6 ; +; - RAM:inst1|memory[223][26] ; 1 ; 6 ; +; - RAM:inst1|memory[75][26] ; 1 ; 6 ; +; - RAM:inst1|memory[11][26] ; 1 ; 6 ; +; - RAM:inst1|memory[203][26] ; 1 ; 6 ; +; - RAM:inst1|memory[71][26] ; 1 ; 6 ; +; - RAM:inst1|memory[7][26] ; 1 ; 6 ; +; - RAM:inst1|memory[199][26] ; 1 ; 6 ; +; - RAM:inst1|memory[131][26] ; 1 ; 6 ; +; - RAM:inst1|memory[3][26] ; 1 ; 6 ; +; - RAM:inst1|memory[195][26] ; 1 ; 6 ; +; - RAM:inst1|memory[79][26] ; 1 ; 6 ; +; - RAM:inst1|memory[15][26] ; 1 ; 6 ; +; - RAM:inst1|memory[207][26] ; 1 ; 6 ; +; - RAM:inst1|memory[187][26] ; 1 ; 6 ; +; - RAM:inst1|memory[59][26] ; 1 ; 6 ; +; - RAM:inst1|memory[251][26] ; 1 ; 6 ; +; - RAM:inst1|memory[119][26] ; 1 ; 6 ; +; - RAM:inst1|memory[183][26] ; 1 ; 6 ; +; - RAM:inst1|memory[55][26] ; 1 ; 6 ; +; - RAM:inst1|memory[247][26] ; 1 ; 6 ; +; - RAM:inst1|memory[115][26] ; 1 ; 6 ; +; - RAM:inst1|memory[51][26] ; 1 ; 6 ; +; - RAM:inst1|memory[243][26] ; 1 ; 6 ; +; - RAM:inst1|memory[191][26] ; 1 ; 6 ; +; - RAM:inst1|memory[63][26] ; 1 ; 6 ; +; - RAM:inst1|memory[255][26] ; 1 ; 6 ; +; - RAM:inst1|memory[68][10]~feeder ; 1 ; 6 ; +; - RAM:inst1|memory[132][10]~feeder ; 1 ; 6 ; +; - RAM:inst1|memory[68][26]~feeder ; 1 ; 6 ; +; - RAM:inst1|memory[135][10]~feeder ; 1 ; 6 ; +; - RAM:inst1|memory[100][26]~feeder ; 1 ; 6 ; +; - RAM:inst1|memory[132][2]~feeder ; 1 ; 6 ; +; - RAM:inst1|memory[199][2]~feeder ; 1 ; 6 ; +; - RAM:inst1|memory[158][18]~feeder ; 1 ; 6 ; +; - RAM:inst1|memory[182][18]~feeder ; 1 ; 6 ; +; - RAM:inst1|memory[164][10]~feeder ; 1 ; 6 ; +; - RAM:inst1|memory[164][26]~feeder ; 1 ; 6 ; +; - RAM:inst1|memory[228][10]~feeder ; 1 ; 6 ; +; - RAM:inst1|memory[118][10]~feeder ; 1 ; 6 ; +; - RAM:inst1|memory[246][10]~feeder ; 1 ; 6 ; +; - RAM:inst1|memory[102][10]~feeder ; 1 ; 6 ; +; - RAM:inst1|memory[54][10]~feeder ; 1 ; 6 ; +; - RAM:inst1|memory[166][10]~feeder ; 1 ; 6 ; +; - RAM:inst1|memory[70][18]~feeder ; 1 ; 6 ; +; - RAM:inst1|memory[118][18]~feeder ; 1 ; 6 ; +; - RAM:inst1|memory[22][18]~feeder ; 1 ; 6 ; +; - RAM:inst1|memory[30][2]~feeder ; 1 ; 6 ; +; - RAM:inst1|memory[161][2]~feeder ; 1 ; 6 ; +; - RAM:inst1|memory[53][10]~feeder ; 1 ; 6 ; +; - RAM:inst1|memory[165][10]~feeder ; 1 ; 6 ; +; - RAM:inst1|memory[103][10]~feeder ; 1 ; 6 ; +; - RAM:inst1|memory[101][10]~feeder ; 1 ; 6 ; +; - RAM:inst1|memory[161][10]~feeder ; 1 ; 6 ; +; - RAM:inst1|memory[160][10]~feeder ; 1 ; 6 ; +; - RAM:inst1|memory[215][10]~feeder ; 1 ; 6 ; +; - RAM:inst1|memory[199][10]~feeder ; 1 ; 6 ; +; - RAM:inst1|memory[39][10]~feeder ; 1 ; 6 ; +; - RAM:inst1|memory[55][2]~feeder ; 1 ; 6 ; +; - RAM:inst1|memory[135][26]~feeder ; 1 ; 6 ; +; - RAM:inst1|memory[140][10]~feeder ; 1 ; 6 ; +; - RAM:inst1|memory[156][10]~feeder ; 1 ; 6 ; +; - RAM:inst1|memory[149][10]~feeder ; 1 ; 6 ; +; - RAM:inst1|memory[151][10]~feeder ; 1 ; 6 ; +; - RAM:inst1|memory[247][10]~feeder ; 1 ; 6 ; +; - RAM:inst1|memory[103][18]~feeder ; 1 ; 6 ; +; - RAM:inst1|memory[167][26]~feeder ; 1 ; 6 ; +; - RAM:inst1|memory[238][10]~feeder ; 1 ; 6 ; +; - RAM:inst1|memory[163][18]~feeder ; 1 ; 6 ; +; - RAM:inst1|memory[35][18]~feeder ; 1 ; 6 ; +; - RAM:inst1|memory[160][26]~feeder ; 1 ; 6 ; +; - RAM:inst1|memory[171][2]~feeder ; 1 ; 6 ; +; - RAM:inst1|memory[181][2]~feeder ; 1 ; 6 ; +; - RAM:inst1|memory[183][2]~feeder ; 1 ; 6 ; +; - RAM:inst1|memory[183][10]~feeder ; 1 ; 6 ; +; - RAM:inst1|memory[149][2]~feeder ; 1 ; 6 ; +; - RAM:inst1|memory[23][18]~feeder ; 1 ; 6 ; +; - RAM:inst1|memory[116][2]~feeder ; 1 ; 6 ; +; - RAM:inst1|memory[172][10]~feeder ; 1 ; 6 ; +; - RAM:inst1|memory[188][10]~feeder ; 1 ; 6 ; +; - RAM:inst1|memory[124][10]~feeder ; 1 ; 6 ; +; - RAM:inst1|memory[67][26]~feeder ; 1 ; 6 ; +; - RAM:inst1|memory[76][26]~feeder ; 1 ; 6 ; +; - RAM:inst1|memory[229][26]~feeder ; 1 ; 6 ; +; - RAM:inst1|memory[227][18]~feeder ; 1 ; 6 ; +; - RAM:inst1|memory[96][10]~feeder ; 1 ; 6 ; +; - RAM:inst1|memory[112][10]~feeder ; 1 ; 6 ; +; - RAM:inst1|memory[109][18]~feeder ; 1 ; 6 ; +; - RAM:inst1|memory[109][2]~feeder ; 1 ; 6 ; +; - RAM:inst1|memory[77][10]~feeder ; 1 ; 6 ; +; - RAM:inst1|memory[173][18]~feeder ; 1 ; 6 ; +; - RAM:inst1|memory[173][2]~feeder ; 1 ; 6 ; +; - RAM:inst1|memory[112][26]~feeder ; 1 ; 6 ; +; - RAM:inst1|memory[112][18]~feeder ; 1 ; 6 ; +; - RAM:inst1|memory[76][18]~feeder ; 1 ; 6 ; +; - RAM:inst1|memory[72][18]~feeder ; 1 ; 6 ; +; - RAM:inst1|memory[143][26]~feeder ; 1 ; 6 ; +; - RAM:inst1|memory[68][18]~feeder ; 1 ; 6 ; +; - RAM:inst1|memory[64][18]~feeder ; 1 ; 6 ; +; - RAM:inst1|memory[23][2]~feeder ; 1 ; 6 ; +; - RAM:inst1|memory[203][2]~feeder ; 1 ; 6 ; +; - RAM:inst1|memory[127][26]~feeder ; 1 ; 6 ; +; - RAM:inst1|memory[144][26]~feeder ; 1 ; 6 ; +; - RAM:inst1|memory[222][10]~feeder ; 1 ; 6 ; +; - RAM:inst1|memory[159][18]~feeder ; 1 ; 6 ; +; - RAM:inst1|memory[66][10]~feeder ; 1 ; 6 ; +; - RAM:inst1|memory[178][10]~feeder ; 1 ; 6 ; +; - RAM:inst1|memory[124][18]~feeder ; 1 ; 6 ; +; - RAM:inst1|memory[81][10]~feeder ; 1 ; 6 ; +; - RAM:inst1|memory[96][2]~feeder ; 1 ; 6 ; +; - RAM:inst1|memory[112][2]~feeder ; 1 ; 6 ; +; - RAM:inst1|memory[64][10]~feeder ; 1 ; 6 ; +; - RAM:inst1|memory[173][10]~feeder ; 1 ; 6 ; +; - RAM:inst1|memory[173][26]~feeder ; 1 ; 6 ; +; - RAM:inst1|memory[189][26]~feeder ; 1 ; 6 ; +; - RAM:inst1|memory[189][2]~feeder ; 1 ; 6 ; +; - RAM:inst1|memory[179][26]~feeder ; 1 ; 6 ; +; - RAM:inst1|memory[247][2]~feeder ; 1 ; 6 ; +; - RAM:inst1|memory[64][26]~feeder ; 1 ; 6 ; +; - RAM:inst1|memory[177][26]~feeder ; 1 ; 6 ; +; - RAM:inst1|memory[233][2]~feeder ; 1 ; 6 ; +; - RAM:inst1|memory[77][2]~feeder ; 1 ; 6 ; +; - RAM:inst1|memory[113][26]~feeder ; 1 ; 6 ; +; - RAM:inst1|memory[69][26]~feeder ; 1 ; 6 ; +; - RAM:inst1|memory[5][26]~feeder ; 1 ; 6 ; +; - RAM:inst1|memory[197][26]~feeder ; 1 ; 6 ; +; - RAM:inst1|memory[123][10]~feeder ; 1 ; 6 ; +; - RAM:inst1|memory[68][2]~feeder ; 1 ; 6 ; +; - RAM:inst1|memory[64][2]~feeder ; 1 ; 6 ; +; - RAM:inst1|memory[71][2]~feeder ; 1 ; 6 ; +; - RAM:inst1|memory[33][18]~feeder ; 1 ; 6 ; +; - RAM:inst1|memory[153][10]~feeder ; 1 ; 6 ; +; - RAM:inst1|memory[72][26]~feeder ; 1 ; 6 ; +; - RAM:inst1|memory[72][10]~feeder ; 1 ; 6 ; +; - RAM:inst1|memory[185][2]~feeder ; 1 ; 6 ; +; - RAM:inst1|memory[137][26]~feeder ; 1 ; 6 ; +; - RAM:inst1|memory[153][26]~feeder ; 1 ; 6 ; +; - RAM:inst1|memory[25][26]~feeder ; 1 ; 6 ; +; - RAM:inst1|memory[9][26]~feeder ; 1 ; 6 ; +; - RAM:inst1|memory[201][26]~feeder ; 1 ; 6 ; +; - RAM:inst1|memory[89][10]~feeder ; 1 ; 6 ; +; - RAM:inst1|memory[89][2]~feeder ; 1 ; 6 ; +; - RAM:inst1|memory[251][2]~feeder ; 1 ; 6 ; +; - RAM:inst1|memory[57][10]~feeder ; 1 ; 6 ; +; - RAM:inst1|memory[121][10]~feeder ; 1 ; 6 ; +; - RAM:inst1|memory[185][10]~feeder ; 1 ; 6 ; +; - RAM:inst1|memory[105][2]~feeder ; 1 ; 6 ; +; - RAM:inst1|memory[107][26]~feeder ; 1 ; 6 ; +; - RAM:inst1|memory[105][26]~feeder ; 1 ; 6 ; +; - RAM:inst1|memory[105][10]~feeder ; 1 ; 6 ; +; - RAM:inst1|memory[73][10]~feeder ; 1 ; 6 ; +; - RAM:inst1|memory[169][26]~feeder ; 1 ; 6 ; +; - RAM:inst1|memory[85][2]~feeder ; 1 ; 6 ; +; - RAM:inst1|memory[93][2]~feeder ; 1 ; 6 ; +; - RAM:inst1|memory[235][10]~feeder ; 1 ; 6 ; +; - RAM:inst1|memory[168][26]~feeder ; 1 ; 6 ; +; - RAM:inst1|memory[171][26]~feeder ; 1 ; 6 ; +; - RAM:inst1|memory[177][2]~feeder ; 1 ; 6 ; +; - RAM:inst1|memory[149][26]~feeder ; 1 ; 6 ; +; - RAM:inst1|memory[91][2]~feeder ; 1 ; 6 ; +; - RAM:inst1|memory[21][18]~feeder ; 1 ; 6 ; +; - RAM:inst1|memory[21][26]~feeder ; 1 ; 6 ; +; - RAM:inst1|memory[81][2]~feeder ; 1 ; 6 ; +; - RAM:inst1|memory[19][18]~feeder ; 1 ; 6 ; +; - RAM:inst1|memory[27][18]~feeder ; 1 ; 6 ; +; - RAM:inst1|memory[147][18]~feeder ; 1 ; 6 ; +; - RAM:inst1|memory[217][18]~feeder ; 1 ; 6 ; +; - RAM:inst1|memory[217][26]~feeder ; 1 ; 6 ; +; - RAM:inst1|memory[235][2]~feeder ; 1 ; 6 ; +; - RAM:inst1|memory[242][10]~feeder ; 1 ; 6 ; +; - RAM:inst1|memory[91][26]~feeder ; 1 ; 6 ; +; - RAM:inst1|memory[139][2]~feeder ; 1 ; 6 ; +; - RAM:inst1|memory[219][2]~feeder ; 1 ; 6 ; +; - RAM:inst1|memory[219][10]~feeder ; 1 ; 6 ; +; - RAM:inst1|memory[223][18]~feeder ; 1 ; 6 ; +; - RAM:inst1|memory[213][18]~feeder ; 1 ; 6 ; +; - RAM:inst1|memory[149][18]~feeder ; 1 ; 6 ; +; - RAM:inst1|memory[92][2]~feeder ; 1 ; 6 ; +; - RAM:inst1|memory[215][18]~feeder ; 1 ; 6 ; +; - RAM:inst1|memory[94][10]~feeder ; 1 ; 6 ; +; - RAM:inst1|memory[209][18]~feeder ; 1 ; 6 ; +; - RAM:inst1|memory[222][18]~feeder ; 1 ; 6 ; +; - RAM:inst1|memory[150][18]~feeder ; 1 ; 6 ; +; - RAM:inst1|memory[214][18]~feeder ; 1 ; 6 ; +; - RAM:inst1|memory[98][10]~feeder ; 1 ; 6 ; +; - RAM:inst1|memory[114][10]~feeder ; 1 ; 6 ; +; - RAM:inst1|memory[92][18]~feeder ; 1 ; 6 ; +; - RAM:inst1|memory[30][18]~feeder ; 1 ; 6 ; +; - RAM:inst1|memory[30][26]~feeder ; 1 ; 6 ; +; - RAM:inst1|memory[158][10]~feeder ; 1 ; 6 ; +; - RAM:inst1|memory[222][2]~feeder ; 1 ; 6 ; +; - RAM:inst1|memory[242][18]~feeder ; 1 ; 6 ; +; - RAM:inst1|memory[146][18]~feeder ; 1 ; 6 ; +; - RAM:inst1|memory[83][18]~feeder ; 1 ; 6 ; +; - RAM:inst1|memory[194][10]~feeder ; 1 ; 6 ; +; - RAM:inst1|memory[210][10]~feeder ; 1 ; 6 ; +; - RAM:inst1|memory[18][18]~feeder ; 1 ; 6 ; +; - RAM:inst1|memory[50][18]~feeder ; 1 ; 6 ; +; - RAM:inst1|memory[170][2]~feeder ; 1 ; 6 ; +; - RAM:inst1|memory[219][18]~feeder ; 1 ; 6 ; +; - RAM:inst1|memory[123][26]~feeder ; 1 ; 6 ; +; - RAM:inst1|memory[138][10]~feeder ; 1 ; 6 ; +; - RAM:inst1|memory[138][18]~feeder ; 1 ; 6 ; +; - RAM:inst1|memory[138][2]~feeder ; 1 ; 6 ; +; - RAM:inst1|memory[218][18]~feeder ; 1 ; 6 ; +; - RAM:inst1|memory[30][10]~feeder ; 1 ; 6 ; +; - RAM:inst1|memory[18][10]~feeder ; 1 ; 6 ; +; - RAM:inst1|memory[50][10]~feeder ; 1 ; 6 ; +; - RAM:inst1|memory[90][18]~feeder ; 1 ; 6 ; +; - RAM:inst1|memory[186][10]~feeder ; 1 ; 6 ; +; - RAM:inst1|memory[58][10]~feeder ; 1 ; 6 ; +; - RAM:inst1|memory[250][18]~feeder ; 1 ; 6 ; +; - RAM:inst1|memory[136][26]~feeder ; 1 ; 6 ; +; - RAM:inst1|memory[138][26]~feeder ; 1 ; 6 ; +; - RAM:inst1|memory[144][18]~feeder ; 1 ; 6 ; +; - RAM:inst1|memory[144][2]~feeder ; 1 ; 6 ; +; - RAM:inst1|memory[17][18]~feeder ; 1 ; 6 ; +; - RAM:inst1|memory[145][18]~feeder ; 1 ; 6 ; +; - RAM:inst1|memory[106][2]~feeder ; 1 ; 6 ; +; - RAM:inst1|memory[139][26]~feeder ; 1 ; 6 ; +; - RAM:inst1|memory[155][18]~feeder ; 1 ; 6 ; +; - RAM:inst1|memory[155][26]~feeder ; 1 ; 6 ; +; - RAM:inst1|memory[89][18]~feeder ; 1 ; 6 ; +; - RAM:inst1|memory[81][18]~feeder ; 1 ; 6 ; +; - RAM:inst1|memory[155][10]~feeder ; 1 ; 6 ; +; - RAM:inst1|memory[155][2]~feeder ; 1 ; 6 ; +; - RAM:inst1|memory[120][10]~feeder ; 1 ; 6 ; +; - RAM:inst1|memory[104][10]~feeder ; 1 ; 6 ; +; - RAM:inst1|memory[88][10]~feeder ; 1 ; 6 ; +; - RAM:inst1|memory[106][10]~feeder ; 1 ; 6 ; +; - RAM:inst1|memory[74][10]~feeder ; 1 ; 6 ; +; - RAM:inst1|memory[250][10]~feeder ; 1 ; 6 ; +; - RAM:inst1|memory[251][10]~feeder ; 1 ; 6 ; +; - RAM:inst1|memory[106][26]~feeder ; 1 ; 6 ; +; - RAM:inst1|memory[122][10]~feeder ; 1 ; 6 ; +; Data[1] ; ; ; +; - RAM:inst1|memory[166][9] ; 0 ; 6 ; +; - RAM:inst1|memory[165][9] ; 1 ; 6 ; +; - RAM:inst1|memory[164][9] ; 0 ; 6 ; +; - RAM:inst1|memory[167][9] ; 0 ; 6 ; +; - RAM:inst1|memory[149][9] ; 0 ; 6 ; +; - RAM:inst1|memory[148][9] ; 0 ; 6 ; +; - RAM:inst1|memory[151][9] ; 0 ; 6 ; +; - RAM:inst1|memory[134][9] ; 0 ; 6 ; +; - RAM:inst1|memory[132][9] ; 0 ; 6 ; +; - RAM:inst1|memory[135][9] ; 0 ; 6 ; +; - RAM:inst1|memory[181][9] ; 0 ; 6 ; +; - RAM:inst1|memory[182][9] ; 0 ; 6 ; +; - RAM:inst1|memory[180][9] ; 0 ; 6 ; +; - RAM:inst1|memory[183][9] ; 0 ; 6 ; +; - RAM:inst1|memory[85][9] ; 0 ; 6 ; +; - RAM:inst1|memory[101][9] ; 0 ; 6 ; +; - RAM:inst1|memory[69][9] ; 0 ; 6 ; +; - RAM:inst1|memory[117][9] ; 0 ; 6 ; +; - RAM:inst1|memory[70][9] ; 0 ; 6 ; +; - RAM:inst1|memory[118][9] ; 0 ; 6 ; +; - RAM:inst1|memory[84][9] ; 0 ; 6 ; +; - RAM:inst1|memory[68][9] ; 0 ; 6 ; +; - RAM:inst1|memory[116][9] ; 0 ; 6 ; +; - RAM:inst1|memory[103][9] ; 0 ; 6 ; +; - RAM:inst1|memory[71][9] ; 0 ; 6 ; +; - RAM:inst1|memory[119][9] ; 0 ; 6 ; +; - RAM:inst1|memory[38][9] ; 0 ; 6 ; +; - RAM:inst1|memory[22][9] ; 0 ; 6 ; +; - RAM:inst1|memory[6][9] ; 0 ; 6 ; +; - RAM:inst1|memory[54][9] ; 0 ; 6 ; +; - RAM:inst1|memory[21][9] ; 0 ; 6 ; +; - RAM:inst1|memory[37][9] ; 1 ; 6 ; +; - RAM:inst1|memory[5][9] ; 0 ; 6 ; +; - RAM:inst1|memory[53][9] ; 0 ; 6 ; +; - RAM:inst1|memory[36][9] ; 0 ; 6 ; +; - RAM:inst1|memory[4][9] ; 0 ; 6 ; +; - RAM:inst1|memory[52][9] ; 0 ; 6 ; +; - RAM:inst1|memory[23][9] ; 0 ; 6 ; +; - RAM:inst1|memory[39][9] ; 0 ; 6 ; +; - RAM:inst1|memory[7][9] ; 0 ; 6 ; +; - RAM:inst1|memory[55][9] ; 0 ; 6 ; +; - RAM:inst1|memory[214][9] ; 0 ; 6 ; +; - RAM:inst1|memory[198][9] ; 0 ; 6 ; +; - RAM:inst1|memory[246][9] ; 0 ; 6 ; +; - RAM:inst1|memory[229][9] ; 0 ; 6 ; +; - RAM:inst1|memory[197][9] ; 0 ; 6 ; +; - RAM:inst1|memory[245][9] ; 0 ; 6 ; +; - RAM:inst1|memory[212][9] ; 0 ; 6 ; +; - RAM:inst1|memory[196][9] ; 0 ; 6 ; +; - RAM:inst1|memory[244][9] ; 0 ; 6 ; +; - RAM:inst1|memory[231][9] ; 0 ; 6 ; +; - RAM:inst1|memory[215][9] ; 0 ; 6 ; +; - RAM:inst1|memory[199][9] ; 0 ; 6 ; +; - RAM:inst1|memory[247][9] ; 0 ; 6 ; +; - RAM:inst1|memory[150][17] ; 0 ; 6 ; +; - RAM:inst1|memory[86][17] ; 0 ; 6 ; +; - RAM:inst1|memory[22][17] ; 0 ; 6 ; +; - RAM:inst1|memory[214][17] ; 0 ; 6 ; +; - RAM:inst1|memory[149][17] ; 0 ; 6 ; +; - RAM:inst1|memory[21][17] ; 0 ; 6 ; +; - RAM:inst1|memory[213][17] ; 0 ; 6 ; +; - RAM:inst1|memory[148][17] ; 0 ; 6 ; +; - RAM:inst1|memory[20][17] ; 0 ; 6 ; +; - RAM:inst1|memory[212][17] ; 0 ; 6 ; +; - RAM:inst1|memory[23][17] ; 0 ; 6 ; +; - RAM:inst1|memory[215][17] ; 0 ; 6 ; +; - RAM:inst1|memory[101][17] ; 0 ; 6 ; +; - RAM:inst1|memory[37][17] ; 0 ; 6 ; +; - RAM:inst1|memory[229][17] ; 0 ; 6 ; +; - RAM:inst1|memory[102][17] ; 0 ; 6 ; +; - RAM:inst1|memory[38][17] ; 0 ; 6 ; +; - RAM:inst1|memory[230][17] ; 0 ; 6 ; +; - RAM:inst1|memory[164][17] ; 0 ; 6 ; +; - RAM:inst1|memory[100][17] ; 0 ; 6 ; +; - RAM:inst1|memory[36][17] ; 0 ; 6 ; +; - RAM:inst1|memory[228][17] ; 0 ; 6 ; +; - RAM:inst1|memory[103][17] ; 0 ; 6 ; +; - RAM:inst1|memory[39][17] ; 0 ; 6 ; +; - RAM:inst1|memory[231][17] ; 0 ; 6 ; +; - RAM:inst1|memory[69][17] ; 0 ; 6 ; +; - RAM:inst1|memory[5][17] ; 0 ; 6 ; +; - RAM:inst1|memory[197][17] ; 0 ; 6 ; +; - RAM:inst1|memory[134][17] ; 0 ; 6 ; +; - RAM:inst1|memory[6][17] ; 0 ; 6 ; +; - RAM:inst1|memory[198][17] ; 0 ; 6 ; +; - RAM:inst1|memory[132][17] ; 0 ; 6 ; +; - RAM:inst1|memory[68][17] ; 0 ; 6 ; +; - RAM:inst1|memory[4][17] ; 0 ; 6 ; +; - RAM:inst1|memory[196][17] ; 0 ; 6 ; +; - RAM:inst1|memory[71][17] ; 0 ; 6 ; +; - RAM:inst1|memory[135][17] ; 0 ; 6 ; +; - RAM:inst1|memory[7][17] ; 0 ; 6 ; +; - RAM:inst1|memory[199][17] ; 0 ; 6 ; +; - RAM:inst1|memory[182][17] ; 0 ; 6 ; +; - RAM:inst1|memory[180][17] ; 0 ; 6 ; +; - RAM:inst1|memory[183][17] ; 0 ; 6 ; +; - RAM:inst1|memory[117][17] ; 0 ; 6 ; +; - RAM:inst1|memory[116][17] ; 0 ; 6 ; +; - RAM:inst1|memory[119][17] ; 0 ; 6 ; +; - RAM:inst1|memory[54][17] ; 0 ; 6 ; +; - RAM:inst1|memory[52][17] ; 0 ; 6 ; +; - RAM:inst1|memory[55][17] ; 0 ; 6 ; +; - RAM:inst1|memory[246][17] ; 0 ; 6 ; +; - RAM:inst1|memory[244][17] ; 0 ; 6 ; +; - RAM:inst1|memory[247][17] ; 0 ; 6 ; +; - RAM:inst1|memory[85][1] ; 0 ; 6 ; +; - RAM:inst1|memory[69][1] ; 0 ; 6 ; +; - RAM:inst1|memory[102][1] ; 0 ; 6 ; +; - RAM:inst1|memory[70][1] ; 0 ; 6 ; +; - RAM:inst1|memory[118][1] ; 0 ; 6 ; +; - RAM:inst1|memory[68][1] ; 0 ; 6 ; +; - RAM:inst1|memory[116][1] ; 0 ; 6 ; +; - RAM:inst1|memory[103][1] ; 0 ; 6 ; +; - RAM:inst1|memory[71][1] ; 0 ; 6 ; +; - RAM:inst1|memory[119][1] ; 0 ; 6 ; +; - RAM:inst1|memory[150][1] ; 0 ; 6 ; +; - RAM:inst1|memory[134][1] ; 0 ; 6 ; +; - RAM:inst1|memory[182][1] ; 0 ; 6 ; +; - RAM:inst1|memory[149][1] ; 0 ; 6 ; +; - RAM:inst1|memory[165][1] ; 0 ; 6 ; +; - RAM:inst1|memory[133][1] ; 0 ; 6 ; +; - RAM:inst1|memory[181][1] ; 0 ; 6 ; +; - RAM:inst1|memory[148][1] ; 0 ; 6 ; +; - RAM:inst1|memory[132][1] ; 0 ; 6 ; +; - RAM:inst1|memory[180][1] ; 0 ; 6 ; +; - RAM:inst1|memory[151][1] ; 0 ; 6 ; +; - RAM:inst1|memory[167][1] ; 0 ; 6 ; +; - RAM:inst1|memory[135][1] ; 0 ; 6 ; +; - RAM:inst1|memory[183][1] ; 0 ; 6 ; +; - RAM:inst1|memory[6][1] ; 0 ; 6 ; +; - RAM:inst1|memory[54][1] ; 0 ; 6 ; +; - RAM:inst1|memory[21][1] ; 0 ; 6 ; +; - RAM:inst1|memory[37][1] ; 0 ; 6 ; +; - RAM:inst1|memory[5][1] ; 0 ; 6 ; +; - RAM:inst1|memory[53][1] ; 0 ; 6 ; +; - RAM:inst1|memory[36][1] ; 0 ; 6 ; +; - RAM:inst1|memory[4][1] ; 0 ; 6 ; +; - RAM:inst1|memory[52][1] ; 0 ; 6 ; +; - RAM:inst1|memory[23][1] ; 0 ; 6 ; +; - RAM:inst1|memory[39][1] ; 0 ; 6 ; +; - RAM:inst1|memory[7][1] ; 0 ; 6 ; +; - RAM:inst1|memory[55][1] ; 0 ; 6 ; +; - RAM:inst1|memory[197][1] ; 0 ; 6 ; +; - RAM:inst1|memory[245][1] ; 0 ; 6 ; +; - RAM:inst1|memory[230][1] ; 0 ; 6 ; +; - RAM:inst1|memory[214][1] ; 0 ; 6 ; +; - RAM:inst1|memory[198][1] ; 0 ; 6 ; +; - RAM:inst1|memory[246][1] ; 0 ; 6 ; +; - RAM:inst1|memory[228][1] ; 0 ; 6 ; +; - RAM:inst1|memory[196][1] ; 0 ; 6 ; +; - RAM:inst1|memory[244][1] ; 0 ; 6 ; +; - RAM:inst1|memory[215][1] ; 0 ; 6 ; +; - RAM:inst1|memory[231][1] ; 0 ; 6 ; +; - RAM:inst1|memory[199][1] ; 0 ; 6 ; +; - RAM:inst1|memory[247][1] ; 0 ; 6 ; +; - RAM:inst1|memory[101][25] ; 0 ; 6 ; +; - RAM:inst1|memory[69][25] ; 0 ; 6 ; +; - RAM:inst1|memory[86][25] ; 0 ; 6 ; +; - RAM:inst1|memory[70][25] ; 0 ; 6 ; +; - RAM:inst1|memory[118][25] ; 0 ; 6 ; +; - RAM:inst1|memory[84][25] ; 0 ; 6 ; +; - RAM:inst1|memory[68][25] ; 0 ; 6 ; +; - RAM:inst1|memory[116][25] ; 0 ; 6 ; +; - RAM:inst1|memory[103][25] ; 0 ; 6 ; +; - RAM:inst1|memory[71][25] ; 0 ; 6 ; +; - RAM:inst1|memory[119][25] ; 0 ; 6 ; +; - RAM:inst1|memory[149][25] ; 0 ; 6 ; +; - RAM:inst1|memory[148][25] ; 0 ; 6 ; +; - RAM:inst1|memory[151][25] ; 0 ; 6 ; +; - RAM:inst1|memory[164][25] ; 0 ; 6 ; +; - RAM:inst1|memory[167][25] ; 0 ; 6 ; +; - RAM:inst1|memory[134][25] ; 0 ; 6 ; +; - RAM:inst1|memory[133][25] ; 0 ; 6 ; +; - RAM:inst1|memory[132][25] ; 0 ; 6 ; +; - RAM:inst1|memory[135][25] ; 0 ; 6 ; +; - RAM:inst1|memory[181][25] ; 0 ; 6 ; +; - RAM:inst1|memory[182][25] ; 0 ; 6 ; +; - RAM:inst1|memory[180][25] ; 0 ; 6 ; +; - RAM:inst1|memory[183][25] ; 0 ; 6 ; +; - RAM:inst1|memory[22][25] ; 0 ; 6 ; +; - RAM:inst1|memory[6][25] ; 0 ; 6 ; +; - RAM:inst1|memory[54][25] ; 0 ; 6 ; +; - RAM:inst1|memory[37][25] ; 1 ; 6 ; +; - RAM:inst1|memory[5][25] ; 0 ; 6 ; +; - RAM:inst1|memory[53][25] ; 0 ; 6 ; +; - RAM:inst1|memory[20][25] ; 0 ; 6 ; +; - RAM:inst1|memory[4][25] ; 0 ; 6 ; +; - RAM:inst1|memory[52][25] ; 0 ; 6 ; +; - RAM:inst1|memory[39][25] ; 0 ; 6 ; +; - RAM:inst1|memory[23][25] ; 0 ; 6 ; +; - RAM:inst1|memory[7][25] ; 0 ; 6 ; +; - RAM:inst1|memory[55][25] ; 0 ; 6 ; +; - RAM:inst1|memory[198][25] ; 0 ; 6 ; +; - RAM:inst1|memory[246][25] ; 0 ; 6 ; +; - RAM:inst1|memory[197][25] ; 0 ; 6 ; +; - RAM:inst1|memory[245][25] ; 0 ; 6 ; +; - RAM:inst1|memory[228][25] ; 0 ; 6 ; +; - RAM:inst1|memory[196][25] ; 0 ; 6 ; +; - RAM:inst1|memory[244][25] ; 0 ; 6 ; +; - RAM:inst1|memory[231][25] ; 0 ; 6 ; +; - RAM:inst1|memory[199][25] ; 0 ; 6 ; +; - RAM:inst1|memory[247][25] ; 0 ; 6 ; +; - RAM:inst1|memory[170][17] ; 0 ; 6 ; +; - RAM:inst1|memory[168][17] ; 0 ; 6 ; +; - RAM:inst1|memory[171][17] ; 0 ; 6 ; +; - RAM:inst1|memory[168][9] ; 0 ; 6 ; +; - RAM:inst1|memory[171][9] ; 0 ; 6 ; +; - RAM:inst1|memory[168][1] ; 0 ; 6 ; +; - RAM:inst1|memory[171][1] ; 0 ; 6 ; +; - RAM:inst1|memory[168][25] ; 0 ; 6 ; +; - RAM:inst1|memory[171][25] ; 0 ; 6 ; +; - RAM:inst1|memory[105][17] ; 0 ; 6 ; +; - RAM:inst1|memory[105][1] ; 0 ; 6 ; +; - RAM:inst1|memory[105][25] ; 0 ; 6 ; +; - RAM:inst1|memory[106][9] ; 0 ; 6 ; +; - RAM:inst1|memory[106][1] ; 0 ; 6 ; +; - RAM:inst1|memory[106][25] ; 0 ; 6 ; +; - RAM:inst1|memory[104][1] ; 0 ; 6 ; +; - RAM:inst1|memory[104][25] ; 0 ; 6 ; +; - RAM:inst1|memory[107][1] ; 0 ; 6 ; +; - RAM:inst1|memory[107][25] ; 0 ; 6 ; +; - RAM:inst1|memory[40][9] ; 0 ; 6 ; +; - RAM:inst1|memory[43][9] ; 0 ; 6 ; +; - RAM:inst1|memory[41][17] ; 0 ; 6 ; +; - RAM:inst1|memory[42][17] ; 0 ; 6 ; +; - RAM:inst1|memory[40][17] ; 0 ; 6 ; +; - RAM:inst1|memory[43][17] ; 0 ; 6 ; +; - RAM:inst1|memory[42][1] ; 0 ; 6 ; +; - RAM:inst1|memory[40][1] ; 0 ; 6 ; +; - RAM:inst1|memory[43][1] ; 0 ; 6 ; +; - RAM:inst1|memory[41][25] ; 0 ; 6 ; +; - RAM:inst1|memory[40][25] ; 0 ; 6 ; +; - RAM:inst1|memory[43][25] ; 0 ; 6 ; +; - RAM:inst1|memory[234][9] ; 0 ; 6 ; +; - RAM:inst1|memory[234][1] ; 0 ; 6 ; +; - RAM:inst1|memory[234][25] ; 0 ; 6 ; +; - RAM:inst1|memory[233][9] ; 0 ; 6 ; +; - RAM:inst1|memory[233][1] ; 0 ; 6 ; +; - RAM:inst1|memory[233][25] ; 0 ; 6 ; +; - RAM:inst1|memory[232][9] ; 0 ; 6 ; +; - RAM:inst1|memory[232][17] ; 0 ; 6 ; +; - RAM:inst1|memory[232][1] ; 0 ; 6 ; +; - RAM:inst1|memory[232][25] ; 0 ; 6 ; +; - RAM:inst1|memory[235][17] ; 0 ; 6 ; +; - RAM:inst1|memory[235][9] ; 0 ; 6 ; +; - RAM:inst1|memory[235][1] ; 0 ; 6 ; +; - RAM:inst1|memory[235][25] ; 0 ; 6 ; +; - RAM:inst1|memory[90][17] ; 0 ; 6 ; +; - RAM:inst1|memory[90][1] ; 0 ; 6 ; +; - RAM:inst1|memory[90][25] ; 0 ; 6 ; +; - RAM:inst1|memory[89][9] ; 0 ; 6 ; +; - RAM:inst1|memory[89][1] ; 0 ; 6 ; +; - RAM:inst1|memory[89][25] ; 0 ; 6 ; +; - RAM:inst1|memory[88][1] ; 0 ; 6 ; +; - RAM:inst1|memory[88][25] ; 0 ; 6 ; +; - RAM:inst1|memory[91][9] ; 0 ; 6 ; +; - RAM:inst1|memory[91][1] ; 0 ; 6 ; +; - RAM:inst1|memory[91][25] ; 0 ; 6 ; +; - RAM:inst1|memory[153][9] ; 0 ; 6 ; +; - RAM:inst1|memory[153][1] ; 0 ; 6 ; +; - RAM:inst1|memory[153][25] ; 0 ; 6 ; +; - RAM:inst1|memory[154][9] ; 0 ; 6 ; +; - RAM:inst1|memory[154][1] ; 0 ; 6 ; +; - RAM:inst1|memory[154][25] ; 0 ; 6 ; +; - RAM:inst1|memory[152][17] ; 0 ; 6 ; +; - RAM:inst1|memory[152][1] ; 0 ; 6 ; +; - RAM:inst1|memory[152][25] ; 0 ; 6 ; +; - RAM:inst1|memory[155][17] ; 0 ; 6 ; +; - RAM:inst1|memory[155][9] ; 0 ; 6 ; +; - RAM:inst1|memory[155][1] ; 0 ; 6 ; +; - RAM:inst1|memory[155][25] ; 0 ; 6 ; +; - RAM:inst1|memory[25][17] ; 0 ; 6 ; +; - RAM:inst1|memory[25][1] ; 0 ; 6 ; +; - RAM:inst1|memory[25][25] ; 0 ; 6 ; +; - RAM:inst1|memory[26][9] ; 0 ; 6 ; +; - RAM:inst1|memory[26][1] ; 0 ; 6 ; +; - RAM:inst1|memory[26][25] ; 0 ; 6 ; +; - RAM:inst1|memory[24][17] ; 0 ; 6 ; +; - RAM:inst1|memory[24][1] ; 0 ; 6 ; +; - RAM:inst1|memory[24][25] ; 0 ; 6 ; +; - RAM:inst1|memory[27][17] ; 0 ; 6 ; +; - RAM:inst1|memory[27][9] ; 0 ; 6 ; +; - RAM:inst1|memory[27][1] ; 0 ; 6 ; +; - RAM:inst1|memory[27][25] ; 0 ; 6 ; +; - RAM:inst1|memory[218][9] ; 0 ; 6 ; +; - RAM:inst1|memory[218][1] ; 0 ; 6 ; +; - RAM:inst1|memory[218][25] ; 0 ; 6 ; +; - RAM:inst1|memory[217][17] ; 0 ; 6 ; +; - RAM:inst1|memory[217][1] ; 0 ; 6 ; +; - RAM:inst1|memory[217][25] ; 0 ; 6 ; +; - RAM:inst1|memory[216][9] ; 0 ; 6 ; +; - RAM:inst1|memory[216][1] ; 0 ; 6 ; +; - RAM:inst1|memory[216][25] ; 0 ; 6 ; +; - RAM:inst1|memory[219][17] ; 0 ; 6 ; +; - RAM:inst1|memory[219][9] ; 0 ; 6 ; +; - RAM:inst1|memory[219][1] ; 0 ; 6 ; +; - RAM:inst1|memory[219][25] ; 0 ; 6 ; +; - RAM:inst1|memory[137][17] ; 0 ; 6 ; +; - RAM:inst1|memory[137][9] ; 0 ; 6 ; +; - RAM:inst1|memory[137][1] ; 0 ; 6 ; +; - RAM:inst1|memory[137][25] ; 0 ; 6 ; +; - RAM:inst1|memory[138][9] ; 0 ; 6 ; +; - RAM:inst1|memory[138][17] ; 0 ; 6 ; +; - RAM:inst1|memory[138][1] ; 0 ; 6 ; +; - RAM:inst1|memory[138][25] ; 0 ; 6 ; +; - RAM:inst1|memory[136][17] ; 0 ; 6 ; +; - RAM:inst1|memory[136][1] ; 0 ; 6 ; +; - RAM:inst1|memory[136][25] ; 0 ; 6 ; +; - RAM:inst1|memory[139][17] ; 0 ; 6 ; +; - RAM:inst1|memory[139][9] ; 0 ; 6 ; +; - RAM:inst1|memory[139][1] ; 0 ; 6 ; +; - RAM:inst1|memory[139][25] ; 0 ; 6 ; +; - RAM:inst1|memory[74][9] ; 0 ; 6 ; +; - RAM:inst1|memory[74][17] ; 0 ; 6 ; +; - RAM:inst1|memory[74][1] ; 0 ; 6 ; +; - RAM:inst1|memory[74][25] ; 0 ; 6 ; +; - RAM:inst1|memory[73][17] ; 0 ; 6 ; +; - RAM:inst1|memory[73][1] ; 0 ; 6 ; +; - RAM:inst1|memory[73][25] ; 0 ; 6 ; +; - RAM:inst1|memory[72][9] ; 0 ; 6 ; +; - RAM:inst1|memory[72][17] ; 0 ; 6 ; +; - RAM:inst1|memory[72][1] ; 0 ; 6 ; +; - RAM:inst1|memory[72][25] ; 0 ; 6 ; +; - RAM:inst1|memory[75][1] ; 0 ; 6 ; +; - RAM:inst1|memory[75][25] ; 0 ; 6 ; +; - RAM:inst1|memory[9][17] ; 0 ; 6 ; +; - RAM:inst1|memory[9][1] ; 0 ; 6 ; +; - RAM:inst1|memory[9][25] ; 0 ; 6 ; +; - RAM:inst1|memory[10][17] ; 0 ; 6 ; +; - RAM:inst1|memory[10][1] ; 0 ; 6 ; +; - RAM:inst1|memory[10][25] ; 0 ; 6 ; +; - RAM:inst1|memory[8][9] ; 0 ; 6 ; +; - RAM:inst1|memory[8][1] ; 0 ; 6 ; +; - RAM:inst1|memory[8][25] ; 0 ; 6 ; +; - RAM:inst1|memory[11][1] ; 0 ; 6 ; +; - RAM:inst1|memory[11][25] ; 0 ; 6 ; +; - RAM:inst1|memory[202][17] ; 0 ; 6 ; +; - RAM:inst1|memory[202][1] ; 0 ; 6 ; +; - RAM:inst1|memory[202][25] ; 0 ; 6 ; +; - RAM:inst1|memory[201][17] ; 0 ; 6 ; +; - RAM:inst1|memory[201][9] ; 0 ; 6 ; +; - RAM:inst1|memory[201][1] ; 0 ; 6 ; +; - RAM:inst1|memory[201][25] ; 0 ; 6 ; +; - RAM:inst1|memory[200][1] ; 0 ; 6 ; +; - RAM:inst1|memory[200][25] ; 0 ; 6 ; +; - RAM:inst1|memory[203][17] ; 0 ; 6 ; +; - RAM:inst1|memory[203][9] ; 0 ; 6 ; +; - RAM:inst1|memory[203][1] ; 0 ; 6 ; +; - RAM:inst1|memory[203][25] ; 0 ; 6 ; +; - RAM:inst1|memory[122][9] ; 0 ; 6 ; +; - RAM:inst1|memory[58][9] ; 0 ; 6 ; +; - RAM:inst1|memory[250][9] ; 0 ; 6 ; +; - RAM:inst1|memory[185][9] ; 0 ; 6 ; +; - RAM:inst1|memory[121][9] ; 0 ; 6 ; +; - RAM:inst1|memory[57][9] ; 0 ; 6 ; +; - RAM:inst1|memory[249][9] ; 0 ; 6 ; +; - RAM:inst1|memory[184][9] ; 0 ; 6 ; +; - RAM:inst1|memory[56][9] ; 0 ; 6 ; +; - RAM:inst1|memory[248][9] ; 0 ; 6 ; +; - RAM:inst1|memory[123][9] ; 0 ; 6 ; +; - RAM:inst1|memory[59][9] ; 0 ; 6 ; +; - RAM:inst1|memory[251][9] ; 0 ; 6 ; +; - RAM:inst1|memory[185][17] ; 0 ; 6 ; +; - RAM:inst1|memory[57][17] ; 0 ; 6 ; +; - RAM:inst1|memory[249][17] ; 0 ; 6 ; +; - RAM:inst1|memory[122][17] ; 0 ; 6 ; +; - RAM:inst1|memory[58][17] ; 0 ; 6 ; +; - RAM:inst1|memory[250][17] ; 0 ; 6 ; +; - RAM:inst1|memory[120][17] ; 0 ; 6 ; +; - RAM:inst1|memory[184][17] ; 0 ; 6 ; +; - RAM:inst1|memory[56][17] ; 0 ; 6 ; +; - RAM:inst1|memory[248][17] ; 0 ; 6 ; +; - RAM:inst1|memory[59][17] ; 0 ; 6 ; +; - RAM:inst1|memory[251][17] ; 0 ; 6 ; +; - RAM:inst1|memory[185][1] ; 0 ; 6 ; +; - RAM:inst1|memory[121][1] ; 0 ; 6 ; +; - RAM:inst1|memory[57][1] ; 0 ; 6 ; +; - RAM:inst1|memory[249][1] ; 0 ; 6 ; +; - RAM:inst1|memory[122][1] ; 0 ; 6 ; +; - RAM:inst1|memory[58][1] ; 0 ; 6 ; +; - RAM:inst1|memory[250][1] ; 0 ; 6 ; +; - RAM:inst1|memory[120][1] ; 0 ; 6 ; +; - RAM:inst1|memory[56][1] ; 0 ; 6 ; +; - RAM:inst1|memory[248][1] ; 0 ; 6 ; +; - RAM:inst1|memory[59][1] ; 0 ; 6 ; +; - RAM:inst1|memory[251][1] ; 0 ; 6 ; +; - RAM:inst1|memory[122][25] ; 0 ; 6 ; +; - RAM:inst1|memory[186][25] ; 0 ; 6 ; +; - RAM:inst1|memory[58][25] ; 0 ; 6 ; +; - RAM:inst1|memory[250][25] ; 0 ; 6 ; +; - RAM:inst1|memory[185][25] ; 0 ; 6 ; +; - RAM:inst1|memory[121][25] ; 0 ; 6 ; +; - RAM:inst1|memory[57][25] ; 0 ; 6 ; +; - RAM:inst1|memory[249][25] ; 0 ; 6 ; +; - RAM:inst1|memory[120][25] ; 0 ; 6 ; +; - RAM:inst1|memory[56][25] ; 0 ; 6 ; +; - RAM:inst1|memory[248][25] ; 0 ; 6 ; +; - RAM:inst1|memory[187][25] ; 0 ; 6 ; +; - RAM:inst1|memory[123][25] ; 0 ; 6 ; +; - RAM:inst1|memory[59][25] ; 0 ; 6 ; +; - RAM:inst1|memory[251][25] ; 0 ; 6 ; +; - RAM:inst1|memory[145][9] ; 0 ; 6 ; +; - RAM:inst1|memory[146][9] ; 0 ; 6 ; +; - RAM:inst1|memory[144][9] ; 0 ; 6 ; +; - RAM:inst1|memory[147][9] ; 0 ; 6 ; +; - RAM:inst1|memory[146][17] ; 0 ; 6 ; +; - RAM:inst1|memory[145][17] ; 0 ; 6 ; +; - RAM:inst1|memory[144][17] ; 0 ; 6 ; +; - RAM:inst1|memory[147][17] ; 0 ; 6 ; +; - RAM:inst1|memory[145][1] ; 0 ; 6 ; +; - RAM:inst1|memory[144][1] ; 0 ; 6 ; +; - RAM:inst1|memory[147][1] ; 0 ; 6 ; +; - RAM:inst1|memory[145][25] ; 0 ; 6 ; +; - RAM:inst1|memory[144][25] ; 0 ; 6 ; +; - RAM:inst1|memory[147][25] ; 0 ; 6 ; +; - RAM:inst1|memory[80][17] ; 0 ; 6 ; +; - RAM:inst1|memory[83][17] ; 0 ; 6 ; +; - RAM:inst1|memory[81][9] ; 0 ; 6 ; +; - RAM:inst1|memory[82][9] ; 0 ; 6 ; +; - RAM:inst1|memory[80][9] ; 0 ; 6 ; +; - RAM:inst1|memory[83][9] ; 0 ; 6 ; +; - RAM:inst1|memory[82][1] ; 0 ; 6 ; +; - RAM:inst1|memory[80][1] ; 0 ; 6 ; +; - RAM:inst1|memory[83][1] ; 0 ; 6 ; +; - RAM:inst1|memory[80][25] ; 0 ; 6 ; +; - RAM:inst1|memory[83][25] ; 0 ; 6 ; +; - RAM:inst1|memory[17][9] ; 0 ; 6 ; +; - RAM:inst1|memory[16][9] ; 0 ; 6 ; +; - RAM:inst1|memory[19][9] ; 0 ; 6 ; +; - RAM:inst1|memory[17][17] ; 0 ; 6 ; +; - RAM:inst1|memory[16][17] ; 0 ; 6 ; +; - RAM:inst1|memory[19][17] ; 0 ; 6 ; +; - RAM:inst1|memory[18][1] ; 0 ; 6 ; +; - RAM:inst1|memory[16][1] ; 0 ; 6 ; +; - RAM:inst1|memory[19][1] ; 0 ; 6 ; +; - RAM:inst1|memory[18][25] ; 0 ; 6 ; +; - RAM:inst1|memory[16][25] ; 0 ; 6 ; +; - RAM:inst1|memory[19][25] ; 0 ; 6 ; +; - RAM:inst1|memory[209][17] ; 0 ; 6 ; +; - RAM:inst1|memory[208][17] ; 0 ; 6 ; +; - RAM:inst1|memory[211][17] ; 0 ; 6 ; +; - RAM:inst1|memory[209][9] ; 0 ; 6 ; +; - RAM:inst1|memory[208][9] ; 0 ; 6 ; +; - RAM:inst1|memory[211][9] ; 0 ; 6 ; +; - RAM:inst1|memory[208][1] ; 0 ; 6 ; +; - RAM:inst1|memory[211][1] ; 0 ; 6 ; +; - RAM:inst1|memory[209][25] ; 0 ; 6 ; +; - RAM:inst1|memory[208][25] ; 0 ; 6 ; +; - RAM:inst1|memory[211][25] ; 0 ; 6 ; +; - RAM:inst1|memory[98][17] ; 0 ; 6 ; +; - RAM:inst1|memory[96][17] ; 0 ; 6 ; +; - RAM:inst1|memory[99][17] ; 0 ; 6 ; +; - RAM:inst1|memory[96][9] ; 0 ; 6 ; +; - RAM:inst1|memory[99][9] ; 0 ; 6 ; +; - RAM:inst1|memory[98][1] ; 0 ; 6 ; +; - RAM:inst1|memory[96][1] ; 0 ; 6 ; +; - RAM:inst1|memory[99][1] ; 0 ; 6 ; +; - RAM:inst1|memory[97][25] ; 0 ; 6 ; +; - RAM:inst1|memory[98][25] ; 0 ; 6 ; +; - RAM:inst1|memory[96][25] ; 0 ; 6 ; +; - RAM:inst1|memory[99][25] ; 0 ; 6 ; +; - RAM:inst1|memory[161][9] ; 0 ; 6 ; +; - RAM:inst1|memory[162][9] ; 0 ; 6 ; +; - RAM:inst1|memory[160][9] ; 0 ; 6 ; +; - RAM:inst1|memory[163][9] ; 1 ; 6 ; +; - RAM:inst1|memory[160][17] ; 0 ; 6 ; +; - RAM:inst1|memory[163][17] ; 1 ; 6 ; +; - RAM:inst1|memory[162][1] ; 1 ; 6 ; +; - RAM:inst1|memory[161][1] ; 1 ; 6 ; +; - RAM:inst1|memory[160][1] ; 1 ; 6 ; +; - RAM:inst1|memory[163][1] ; 1 ; 6 ; +; - RAM:inst1|memory[161][25] ; 0 ; 6 ; +; - RAM:inst1|memory[162][25] ; 0 ; 6 ; +; - RAM:inst1|memory[160][25] ; 0 ; 6 ; +; - RAM:inst1|memory[163][25] ; 1 ; 6 ; +; - RAM:inst1|memory[34][9] ; 0 ; 6 ; +; - RAM:inst1|memory[32][9] ; 1 ; 6 ; +; - RAM:inst1|memory[35][9] ; 0 ; 6 ; +; - RAM:inst1|memory[32][17] ; 0 ; 6 ; +; - RAM:inst1|memory[35][17] ; 0 ; 6 ; +; - RAM:inst1|memory[34][1] ; 1 ; 6 ; +; - RAM:inst1|memory[33][1] ; 0 ; 6 ; +; - RAM:inst1|memory[32][1] ; 0 ; 6 ; +; - RAM:inst1|memory[35][1] ; 1 ; 6 ; +; - RAM:inst1|memory[33][25] ; 0 ; 6 ; +; - RAM:inst1|memory[34][25] ; 0 ; 6 ; +; - RAM:inst1|memory[32][25] ; 0 ; 6 ; +; - RAM:inst1|memory[35][25] ; 0 ; 6 ; +; - RAM:inst1|memory[224][17] ; 0 ; 6 ; +; - RAM:inst1|memory[227][17] ; 0 ; 6 ; +; - RAM:inst1|memory[226][9] ; 1 ; 6 ; +; - RAM:inst1|memory[224][9] ; 1 ; 6 ; +; - RAM:inst1|memory[227][9] ; 1 ; 6 ; +; - RAM:inst1|memory[226][1] ; 0 ; 6 ; +; - RAM:inst1|memory[225][1] ; 1 ; 6 ; +; - RAM:inst1|memory[224][1] ; 1 ; 6 ; +; - RAM:inst1|memory[227][1] ; 0 ; 6 ; +; - RAM:inst1|memory[226][25] ; 0 ; 6 ; +; - RAM:inst1|memory[224][25] ; 0 ; 6 ; +; - RAM:inst1|memory[227][25] ; 0 ; 6 ; +; - RAM:inst1|memory[64][17] ; 0 ; 6 ; +; - RAM:inst1|memory[67][17] ; 0 ; 6 ; +; - RAM:inst1|memory[65][9] ; 0 ; 6 ; +; - RAM:inst1|memory[64][9] ; 0 ; 6 ; +; - RAM:inst1|memory[67][9] ; 0 ; 6 ; +; - RAM:inst1|memory[64][1] ; 0 ; 6 ; +; - RAM:inst1|memory[67][1] ; 0 ; 6 ; +; - RAM:inst1|memory[65][25] ; 0 ; 6 ; +; - RAM:inst1|memory[66][25] ; 0 ; 6 ; +; - RAM:inst1|memory[64][25] ; 0 ; 6 ; +; - RAM:inst1|memory[67][25] ; 0 ; 6 ; +; - RAM:inst1|memory[129][9] ; 0 ; 6 ; +; - RAM:inst1|memory[128][9] ; 0 ; 6 ; +; - RAM:inst1|memory[131][9] ; 0 ; 6 ; +; - RAM:inst1|memory[130][17] ; 0 ; 6 ; +; - RAM:inst1|memory[129][17] ; 0 ; 6 ; +; - RAM:inst1|memory[128][17] ; 0 ; 6 ; +; - RAM:inst1|memory[131][17] ; 0 ; 6 ; +; - RAM:inst1|memory[130][1] ; 0 ; 6 ; +; - RAM:inst1|memory[129][1] ; 0 ; 6 ; +; - RAM:inst1|memory[128][1] ; 0 ; 6 ; +; - RAM:inst1|memory[131][1] ; 0 ; 6 ; +; - RAM:inst1|memory[129][25] ; 0 ; 6 ; +; - RAM:inst1|memory[128][25] ; 0 ; 6 ; +; - RAM:inst1|memory[131][25] ; 0 ; 6 ; +; - RAM:inst1|memory[1][9] ; 0 ; 6 ; +; - RAM:inst1|memory[0][9] ; 0 ; 6 ; +; - RAM:inst1|memory[3][9] ; 0 ; 6 ; +; - RAM:inst1|memory[0][17] ; 0 ; 6 ; +; - RAM:inst1|memory[3][17] ; 0 ; 6 ; +; - RAM:inst1|memory[2][1] ; 0 ; 6 ; +; - RAM:inst1|memory[0][1] ; 0 ; 6 ; +; - RAM:inst1|memory[3][1] ; 0 ; 6 ; +; - RAM:inst1|memory[1][25] ; 0 ; 6 ; +; - RAM:inst1|memory[2][25] ; 0 ; 6 ; +; - RAM:inst1|memory[0][25] ; 0 ; 6 ; +; - RAM:inst1|memory[3][25] ; 0 ; 6 ; +; - RAM:inst1|memory[194][17] ; 0 ; 6 ; +; - RAM:inst1|memory[193][17] ; 0 ; 6 ; +; - RAM:inst1|memory[192][17] ; 0 ; 6 ; +; - RAM:inst1|memory[195][17] ; 0 ; 6 ; +; - RAM:inst1|memory[192][9] ; 0 ; 6 ; +; - RAM:inst1|memory[195][9] ; 0 ; 6 ; +; - RAM:inst1|memory[194][1] ; 0 ; 6 ; +; - RAM:inst1|memory[193][1] ; 0 ; 6 ; +; - RAM:inst1|memory[192][1] ; 0 ; 6 ; +; - RAM:inst1|memory[195][1] ; 0 ; 6 ; +; - RAM:inst1|memory[192][25] ; 0 ; 6 ; +; - RAM:inst1|memory[195][25] ; 0 ; 6 ; +; - RAM:inst1|memory[176][17] ; 0 ; 6 ; +; - RAM:inst1|memory[179][17] ; 0 ; 6 ; +; - RAM:inst1|memory[176][9] ; 0 ; 6 ; +; - RAM:inst1|memory[179][9] ; 0 ; 6 ; +; - RAM:inst1|memory[176][1] ; 0 ; 6 ; +; - RAM:inst1|memory[179][1] ; 0 ; 6 ; +; - RAM:inst1|memory[176][25] ; 0 ; 6 ; +; - RAM:inst1|memory[179][25] ; 0 ; 6 ; +; - RAM:inst1|memory[114][17] ; 0 ; 6 ; +; - RAM:inst1|memory[114][1] ; 0 ; 6 ; +; - RAM:inst1|memory[114][25] ; 0 ; 6 ; +; - RAM:inst1|memory[113][17] ; 0 ; 6 ; +; - RAM:inst1|memory[113][1] ; 0 ; 6 ; +; - RAM:inst1|memory[113][25] ; 0 ; 6 ; +; - RAM:inst1|memory[112][9] ; 0 ; 6 ; +; - RAM:inst1|memory[112][1] ; 0 ; 6 ; +; - RAM:inst1|memory[112][25] ; 0 ; 6 ; +; - RAM:inst1|memory[115][9] ; 0 ; 6 ; +; - RAM:inst1|memory[115][1] ; 0 ; 6 ; +; - RAM:inst1|memory[115][25] ; 0 ; 6 ; +; - RAM:inst1|memory[49][9] ; 0 ; 6 ; +; - RAM:inst1|memory[48][9] ; 0 ; 6 ; +; - RAM:inst1|memory[51][9] ; 0 ; 6 ; +; - RAM:inst1|memory[49][17] ; 0 ; 6 ; +; - RAM:inst1|memory[48][17] ; 0 ; 6 ; +; - RAM:inst1|memory[51][17] ; 0 ; 6 ; +; - RAM:inst1|memory[50][1] ; 0 ; 6 ; +; - RAM:inst1|memory[48][1] ; 0 ; 6 ; +; - RAM:inst1|memory[51][1] ; 0 ; 6 ; +; - RAM:inst1|memory[49][25] ; 0 ; 6 ; +; - RAM:inst1|memory[50][25] ; 0 ; 6 ; +; - RAM:inst1|memory[48][25] ; 0 ; 6 ; +; - RAM:inst1|memory[51][25] ; 0 ; 6 ; +; - RAM:inst1|memory[241][1] ; 0 ; 6 ; +; - RAM:inst1|memory[241][25] ; 0 ; 6 ; +; - RAM:inst1|memory[242][1] ; 0 ; 6 ; +; - RAM:inst1|memory[242][25] ; 0 ; 6 ; +; - RAM:inst1|memory[240][1] ; 0 ; 6 ; +; - RAM:inst1|memory[240][25] ; 0 ; 6 ; +; - RAM:inst1|memory[243][1] ; 0 ; 6 ; +; - RAM:inst1|memory[243][25] ; 0 ; 6 ; +; - RAM:inst1|memory[174][17] ; 0 ; 6 ; +; - RAM:inst1|memory[110][17] ; 0 ; 6 ; +; - RAM:inst1|memory[46][17] ; 0 ; 6 ; +; - RAM:inst1|memory[238][17] ; 0 ; 6 ; +; - RAM:inst1|memory[158][17] ; 0 ; 6 ; +; - RAM:inst1|memory[30][17] ; 0 ; 6 ; +; - RAM:inst1|memory[222][17] ; 0 ; 6 ; +; - RAM:inst1|memory[78][17] ; 0 ; 6 ; +; - RAM:inst1|memory[14][17] ; 0 ; 6 ; +; - RAM:inst1|memory[206][17] ; 0 ; 6 ; +; - RAM:inst1|memory[126][17] ; 0 ; 6 ; +; - RAM:inst1|memory[190][17] ; 0 ; 6 ; +; - RAM:inst1|memory[62][17] ; 0 ; 6 ; +; - RAM:inst1|memory[254][17] ; 0 ; 6 ; +; - RAM:inst1|memory[157][17] ; 0 ; 6 ; +; - RAM:inst1|memory[29][17] ; 0 ; 6 ; +; - RAM:inst1|memory[221][17] ; 0 ; 6 ; +; - RAM:inst1|memory[173][17] ; 1 ; 6 ; +; - RAM:inst1|memory[109][17] ; 0 ; 6 ; +; - RAM:inst1|memory[45][17] ; 0 ; 6 ; +; - RAM:inst1|memory[237][17] ; 0 ; 6 ; +; - RAM:inst1|memory[77][17] ; 0 ; 6 ; +; - RAM:inst1|memory[13][17] ; 0 ; 6 ; +; - RAM:inst1|memory[205][17] ; 0 ; 6 ; +; - RAM:inst1|memory[125][17] ; 0 ; 6 ; +; - RAM:inst1|memory[61][17] ; 0 ; 6 ; +; - RAM:inst1|memory[253][17] ; 0 ; 6 ; +; - RAM:inst1|memory[172][17] ; 0 ; 6 ; +; - RAM:inst1|memory[108][17] ; 0 ; 6 ; +; - RAM:inst1|memory[44][17] ; 0 ; 6 ; +; - RAM:inst1|memory[236][17] ; 0 ; 6 ; +; - RAM:inst1|memory[28][17] ; 0 ; 6 ; +; - RAM:inst1|memory[220][17] ; 0 ; 6 ; +; - RAM:inst1|memory[140][17] ; 0 ; 6 ; +; - RAM:inst1|memory[76][17] ; 0 ; 6 ; +; - RAM:inst1|memory[12][17] ; 0 ; 6 ; +; - RAM:inst1|memory[204][17] ; 0 ; 6 ; +; - RAM:inst1|memory[60][17] ; 0 ; 6 ; +; - RAM:inst1|memory[252][17] ; 0 ; 6 ; +; - RAM:inst1|memory[31][17] ; 0 ; 6 ; +; - RAM:inst1|memory[223][17] ; 0 ; 6 ; +; - RAM:inst1|memory[47][17] ; 0 ; 6 ; +; - RAM:inst1|memory[239][17] ; 0 ; 6 ; +; - RAM:inst1|memory[15][17] ; 0 ; 6 ; +; - RAM:inst1|memory[207][17] ; 0 ; 6 ; +; - RAM:inst1|memory[127][17] ; 0 ; 6 ; +; - RAM:inst1|memory[191][17] ; 0 ; 6 ; +; - RAM:inst1|memory[63][17] ; 0 ; 6 ; +; - RAM:inst1|memory[255][17] ; 0 ; 6 ; +; - RAM:inst1|memory[110][9] ; 0 ; 6 ; +; - RAM:inst1|memory[78][9] ; 0 ; 6 ; +; - RAM:inst1|memory[126][9] ; 0 ; 6 ; +; - RAM:inst1|memory[77][9] ; 0 ; 6 ; +; - RAM:inst1|memory[125][9] ; 0 ; 6 ; +; - RAM:inst1|memory[92][9] ; 0 ; 6 ; +; - RAM:inst1|memory[108][9] ; 0 ; 6 ; +; - RAM:inst1|memory[76][9] ; 0 ; 6 ; +; - RAM:inst1|memory[124][9] ; 0 ; 6 ; +; - RAM:inst1|memory[79][9] ; 0 ; 6 ; +; - RAM:inst1|memory[127][9] ; 0 ; 6 ; +; - RAM:inst1|memory[173][9] ; 0 ; 6 ; +; - RAM:inst1|memory[157][9] ; 0 ; 6 ; +; - RAM:inst1|memory[141][9] ; 0 ; 6 ; +; - RAM:inst1|memory[189][9] ; 0 ; 6 ; +; - RAM:inst1|memory[158][9] ; 0 ; 6 ; +; - RAM:inst1|memory[174][9] ; 0 ; 6 ; +; - RAM:inst1|memory[142][9] ; 0 ; 6 ; +; - RAM:inst1|memory[190][9] ; 0 ; 6 ; +; - RAM:inst1|memory[156][9] ; 0 ; 6 ; +; - RAM:inst1|memory[172][9] ; 0 ; 6 ; +; - RAM:inst1|memory[140][9] ; 0 ; 6 ; +; - RAM:inst1|memory[188][9] ; 0 ; 6 ; +; - RAM:inst1|memory[175][9] ; 0 ; 6 ; +; - RAM:inst1|memory[159][9] ; 0 ; 6 ; +; - RAM:inst1|memory[143][9] ; 0 ; 6 ; +; - RAM:inst1|memory[191][9] ; 0 ; 6 ; +; - RAM:inst1|memory[45][9] ; 0 ; 6 ; +; - RAM:inst1|memory[13][9] ; 0 ; 6 ; +; - RAM:inst1|memory[61][9] ; 0 ; 6 ; +; - RAM:inst1|memory[30][9] ; 0 ; 6 ; +; - RAM:inst1|memory[46][9] ; 0 ; 6 ; +; - RAM:inst1|memory[14][9] ; 0 ; 6 ; +; - RAM:inst1|memory[62][9] ; 0 ; 6 ; +; - RAM:inst1|memory[44][9] ; 0 ; 6 ; +; - RAM:inst1|memory[12][9] ; 0 ; 6 ; +; - RAM:inst1|memory[60][9] ; 0 ; 6 ; +; - RAM:inst1|memory[47][9] ; 0 ; 6 ; +; - RAM:inst1|memory[31][9] ; 0 ; 6 ; +; - RAM:inst1|memory[15][9] ; 0 ; 6 ; +; - RAM:inst1|memory[63][9] ; 0 ; 6 ; +; - RAM:inst1|memory[221][9] ; 0 ; 6 ; +; - RAM:inst1|memory[222][9] ; 0 ; 6 ; +; - RAM:inst1|memory[220][9] ; 0 ; 6 ; +; - RAM:inst1|memory[223][9] ; 0 ; 6 ; +; - RAM:inst1|memory[238][9] ; 0 ; 6 ; +; - RAM:inst1|memory[237][9] ; 0 ; 6 ; +; - RAM:inst1|memory[236][9] ; 0 ; 6 ; +; - RAM:inst1|memory[239][9] ; 0 ; 6 ; +; - RAM:inst1|memory[206][9] ; 0 ; 6 ; +; - RAM:inst1|memory[205][9] ; 0 ; 6 ; +; - RAM:inst1|memory[204][9] ; 0 ; 6 ; +; - RAM:inst1|memory[207][9] ; 0 ; 6 ; +; - RAM:inst1|memory[253][9] ; 0 ; 6 ; +; - RAM:inst1|memory[252][9] ; 0 ; 6 ; +; - RAM:inst1|memory[255][9] ; 0 ; 6 ; +; - RAM:inst1|memory[157][1] ; 0 ; 6 ; +; - RAM:inst1|memory[141][1] ; 0 ; 6 ; +; - RAM:inst1|memory[189][1] ; 0 ; 6 ; +; - RAM:inst1|memory[93][1] ; 0 ; 6 ; +; - RAM:inst1|memory[109][1] ; 0 ; 6 ; +; - RAM:inst1|memory[77][1] ; 0 ; 6 ; +; - RAM:inst1|memory[125][1] ; 0 ; 6 ; +; - RAM:inst1|memory[45][1] ; 0 ; 6 ; +; - RAM:inst1|memory[13][1] ; 0 ; 6 ; +; - RAM:inst1|memory[61][1] ; 0 ; 6 ; +; - RAM:inst1|memory[237][1] ; 0 ; 6 ; +; - RAM:inst1|memory[205][1] ; 0 ; 6 ; +; - RAM:inst1|memory[253][1] ; 0 ; 6 ; +; - RAM:inst1|memory[94][1] ; 0 ; 6 ; +; - RAM:inst1|memory[78][1] ; 0 ; 6 ; +; - RAM:inst1|memory[126][1] ; 0 ; 6 ; +; - RAM:inst1|memory[174][1] ; 0 ; 6 ; +; - RAM:inst1|memory[158][1] ; 0 ; 6 ; +; - RAM:inst1|memory[142][1] ; 0 ; 6 ; +; - RAM:inst1|memory[190][1] ; 0 ; 6 ; +; - RAM:inst1|memory[46][1] ; 0 ; 6 ; +; - RAM:inst1|memory[14][1] ; 0 ; 6 ; +; - RAM:inst1|memory[62][1] ; 0 ; 6 ; +; - RAM:inst1|memory[222][1] ; 0 ; 6 ; +; - RAM:inst1|memory[238][1] ; 0 ; 6 ; +; - RAM:inst1|memory[206][1] ; 0 ; 6 ; +; - RAM:inst1|memory[254][1] ; 0 ; 6 ; +; - RAM:inst1|memory[92][1] ; 0 ; 6 ; +; - RAM:inst1|memory[108][1] ; 0 ; 6 ; +; - RAM:inst1|memory[76][1] ; 0 ; 6 ; +; - RAM:inst1|memory[124][1] ; 0 ; 6 ; +; - RAM:inst1|memory[156][1] ; 0 ; 6 ; +; - RAM:inst1|memory[140][1] ; 0 ; 6 ; +; - RAM:inst1|memory[188][1] ; 0 ; 6 ; +; - RAM:inst1|memory[44][1] ; 0 ; 6 ; +; - RAM:inst1|memory[28][1] ; 0 ; 6 ; +; - RAM:inst1|memory[12][1] ; 0 ; 6 ; +; - RAM:inst1|memory[60][1] ; 0 ; 6 ; +; - RAM:inst1|memory[236][1] ; 0 ; 6 ; +; - RAM:inst1|memory[204][1] ; 0 ; 6 ; +; - RAM:inst1|memory[252][1] ; 0 ; 6 ; +; - RAM:inst1|memory[175][1] ; 0 ; 6 ; +; - RAM:inst1|memory[111][1] ; 0 ; 6 ; +; - RAM:inst1|memory[47][1] ; 0 ; 6 ; +; - RAM:inst1|memory[239][1] ; 0 ; 6 ; +; - RAM:inst1|memory[95][1] ; 0 ; 6 ; +; - RAM:inst1|memory[159][1] ; 0 ; 6 ; +; - RAM:inst1|memory[31][1] ; 0 ; 6 ; +; - RAM:inst1|memory[223][1] ; 0 ; 6 ; +; - RAM:inst1|memory[143][1] ; 0 ; 6 ; +; - RAM:inst1|memory[15][1] ; 0 ; 6 ; +; - RAM:inst1|memory[207][1] ; 0 ; 6 ; +; - RAM:inst1|memory[63][1] ; 0 ; 6 ; +; - RAM:inst1|memory[255][1] ; 0 ; 6 ; +; - RAM:inst1|memory[158][25] ; 0 ; 6 ; +; - RAM:inst1|memory[157][25] ; 0 ; 6 ; +; - RAM:inst1|memory[156][25] ; 0 ; 6 ; +; - RAM:inst1|memory[159][25] ; 0 ; 6 ; +; - RAM:inst1|memory[173][25] ; 0 ; 6 ; +; - RAM:inst1|memory[172][25] ; 0 ; 6 ; +; - RAM:inst1|memory[175][25] ; 0 ; 6 ; +; - RAM:inst1|memory[141][25] ; 0 ; 6 ; +; - RAM:inst1|memory[140][25] ; 0 ; 6 ; +; - RAM:inst1|memory[143][25] ; 0 ; 6 ; +; - RAM:inst1|memory[190][25] ; 0 ; 6 ; +; - RAM:inst1|memory[188][25] ; 0 ; 6 ; +; - RAM:inst1|memory[191][25] ; 0 ; 6 ; +; - RAM:inst1|memory[78][25] ; 0 ; 6 ; +; - RAM:inst1|memory[126][25] ; 0 ; 6 ; +; - RAM:inst1|memory[109][25] ; 0 ; 6 ; +; - RAM:inst1|memory[77][25] ; 0 ; 6 ; +; - RAM:inst1|memory[125][25] ; 0 ; 6 ; +; - RAM:inst1|memory[92][25] ; 0 ; 6 ; +; - RAM:inst1|memory[76][25] ; 0 ; 6 ; +; - RAM:inst1|memory[124][25] ; 0 ; 6 ; +; - RAM:inst1|memory[111][25] ; 0 ; 6 ; +; - RAM:inst1|memory[95][25] ; 0 ; 6 ; +; - RAM:inst1|memory[79][25] ; 0 ; 6 ; +; - RAM:inst1|memory[127][25] ; 0 ; 6 ; +; - RAM:inst1|memory[46][25] ; 0 ; 6 ; +; - RAM:inst1|memory[45][25] ; 0 ; 6 ; +; - RAM:inst1|memory[44][25] ; 0 ; 6 ; +; - RAM:inst1|memory[47][25] ; 0 ; 6 ; +; - RAM:inst1|memory[29][25] ; 0 ; 6 ; +; - RAM:inst1|memory[30][25] ; 0 ; 6 ; +; - RAM:inst1|memory[28][25] ; 0 ; 6 ; +; - RAM:inst1|memory[31][25] ; 0 ; 6 ; +; - RAM:inst1|memory[12][25] ; 0 ; 6 ; +; - RAM:inst1|memory[15][25] ; 0 ; 6 ; +; - RAM:inst1|memory[61][25] ; 0 ; 6 ; +; - RAM:inst1|memory[62][25] ; 0 ; 6 ; +; - RAM:inst1|memory[60][25] ; 0 ; 6 ; +; - RAM:inst1|memory[63][25] ; 0 ; 6 ; +; - RAM:inst1|memory[221][25] ; 0 ; 6 ; +; - RAM:inst1|memory[205][25] ; 0 ; 6 ; +; - RAM:inst1|memory[253][25] ; 0 ; 6 ; +; - RAM:inst1|memory[238][25] ; 0 ; 6 ; +; - RAM:inst1|memory[206][25] ; 0 ; 6 ; +; - RAM:inst1|memory[254][25] ; 0 ; 6 ; +; - RAM:inst1|memory[204][25] ; 0 ; 6 ; +; - RAM:inst1|memory[252][25] ; 0 ; 6 ; +; - RAM:inst1|memory[223][25] ; 0 ; 6 ; +; - RAM:inst1|memory[239][25] ; 0 ; 6 ; +; - RAM:inst1|memory[207][25] ; 0 ; 6 ; +; - RAM:inst1|memory[255][25] ; 0 ; 6 ; +; - RAM:inst1|memory[225][9]~feeder ; 0 ; 6 ; +; - RAM:inst1|memory[36][25]~feeder ; 0 ; 6 ; +; - RAM:inst1|memory[20][9]~feeder ; 0 ; 6 ; +; - RAM:inst1|memory[20][1]~feeder ; 0 ; 6 ; +; - RAM:inst1|memory[191][1]~feeder ; 0 ; 6 ; +; - RAM:inst1|memory[87][25]~feeder ; 0 ; 6 ; +; - RAM:inst1|memory[87][9]~feeder ; 0 ; 6 ; +; - RAM:inst1|memory[84][1]~feeder ; 0 ; 6 ; +; - RAM:inst1|memory[212][1]~feeder ; 0 ; 6 ; +; - RAM:inst1|memory[174][25]~feeder ; 0 ; 6 ; +; - RAM:inst1|memory[142][25]~feeder ; 0 ; 6 ; +; - RAM:inst1|memory[130][9]~feeder ; 0 ; 6 ; +; - RAM:inst1|memory[130][25]~feeder ; 0 ; 6 ; +; - RAM:inst1|memory[102][25]~feeder ; 0 ; 6 ; +; - RAM:inst1|memory[230][25]~feeder ; 0 ; 6 ; +; - RAM:inst1|memory[100][1]~feeder ; 0 ; 6 ; +; - RAM:inst1|memory[166][25]~feeder ; 0 ; 6 ; +; - RAM:inst1|memory[86][9]~feeder ; 0 ; 6 ; +; - RAM:inst1|memory[86][1]~feeder ; 0 ; 6 ; +; - RAM:inst1|memory[212][25]~feeder ; 0 ; 6 ; +; - RAM:inst1|memory[8][17]~feeder ; 0 ; 6 ; +; - RAM:inst1|memory[213][25]~feeder ; 0 ; 6 ; +; - RAM:inst1|memory[215][25]~feeder ; 0 ; 6 ; +; - RAM:inst1|memory[188][17]~feeder ; 0 ; 6 ; +; - RAM:inst1|memory[112][17]~feeder ; 0 ; 6 ; +; - RAM:inst1|memory[177][9]~feeder ; 0 ; 6 ; +; - RAM:inst1|memory[21][25]~feeder ; 0 ; 6 ; +; - RAM:inst1|memory[127][1]~feeder ; 0 ; 6 ; +; - RAM:inst1|memory[110][25]~feeder ; 0 ; 6 ; +; - RAM:inst1|memory[108][25]~feeder ; 0 ; 6 ; +; - RAM:inst1|memory[175][17]~feeder ; 0 ; 6 ; +; - RAM:inst1|memory[172][1]~feeder ; 0 ; 6 ; +; - RAM:inst1|memory[143][17]~feeder ; 0 ; 6 ; +; - RAM:inst1|memory[151][17]~feeder ; 0 ; 6 ; +; - RAM:inst1|memory[34][17]~feeder ; 0 ; 6 ; +; - RAM:inst1|memory[150][25]~feeder ; 0 ; 6 ; +; - RAM:inst1|memory[150][9]~feeder ; 0 ; 6 ; +; - RAM:inst1|memory[166][1]~feeder ; 0 ; 6 ; +; - RAM:inst1|memory[166][17]~feeder ; 0 ; 6 ; +; - RAM:inst1|memory[102][9]~feeder ; 0 ; 6 ; +; - RAM:inst1|memory[70][17]~feeder ; 0 ; 6 ; +; - RAM:inst1|memory[118][17]~feeder ; 0 ; 6 ; +; - RAM:inst1|memory[38][25]~feeder ; 0 ; 6 ; +; - RAM:inst1|memory[38][1]~feeder ; 0 ; 6 ; +; - RAM:inst1|memory[214][25]~feeder ; 0 ; 6 ; +; - RAM:inst1|memory[156][17]~feeder ; 0 ; 6 ; +; - RAM:inst1|memory[178][17]~feeder ; 0 ; 6 ; +; - RAM:inst1|memory[178][1]~feeder ; 0 ; 6 ; +; - RAM:inst1|memory[114][9]~feeder ; 0 ; 6 ; +; - RAM:inst1|memory[98][9]~feeder ; 0 ; 6 ; +; - RAM:inst1|memory[210][17]~feeder ; 0 ; 6 ; +; - RAM:inst1|memory[92][17]~feeder ; 0 ; 6 ; +; - RAM:inst1|memory[84][17]~feeder ; 0 ; 6 ; +; - RAM:inst1|memory[220][25]~feeder ; 0 ; 6 ; +; - RAM:inst1|memory[87][1]~feeder ; 0 ; 6 ; +; - RAM:inst1|memory[245][17]~feeder ; 0 ; 6 ; +; - RAM:inst1|memory[159][17]~feeder ; 0 ; 6 ; +; - RAM:inst1|memory[2][9]~feeder ; 0 ; 6 ; +; - RAM:inst1|memory[50][9]~feeder ; 0 ; 6 ; +; - RAM:inst1|memory[110][1]~feeder ; 0 ; 6 ; +; - RAM:inst1|memory[194][25]~feeder ; 0 ; 6 ; +; - RAM:inst1|memory[222][25]~feeder ; 0 ; 6 ; +; - RAM:inst1|memory[124][17]~feeder ; 0 ; 6 ; +; - RAM:inst1|memory[254][9]~feeder ; 0 ; 6 ; +; - RAM:inst1|memory[94][9]~feeder ; 0 ; 6 ; +; - RAM:inst1|memory[14][25]~feeder ; 0 ; 6 ; +; - RAM:inst1|memory[142][17]~feeder ; 0 ; 6 ; +; - RAM:inst1|memory[22][1]~feeder ; 0 ; 6 ; +; - RAM:inst1|memory[30][1]~feeder ; 0 ; 6 ; +; - RAM:inst1|memory[94][17]~feeder ; 0 ; 6 ; +; - RAM:inst1|memory[66][17]~feeder ; 0 ; 6 ; +; - RAM:inst1|memory[242][17]~feeder ; 0 ; 6 ; +; - RAM:inst1|memory[94][25]~feeder ; 0 ; 6 ; +; - RAM:inst1|memory[82][25]~feeder ; 0 ; 6 ; +; - RAM:inst1|memory[66][9]~feeder ; 0 ; 6 ; +; - RAM:inst1|memory[66][1]~feeder ; 0 ; 6 ; +; - RAM:inst1|memory[178][9]~feeder ; 0 ; 6 ; +; - RAM:inst1|memory[178][25]~feeder ; 0 ; 6 ; +; - RAM:inst1|memory[220][1]~feeder ; 0 ; 6 ; +; - RAM:inst1|memory[88][9]~feeder ; 0 ; 6 ; +; - RAM:inst1|memory[18][9]~feeder ; 0 ; 6 ; +; - RAM:inst1|memory[186][17]~feeder ; 0 ; 6 ; +; - RAM:inst1|memory[50][17]~feeder ; 0 ; 6 ; +; - RAM:inst1|memory[18][17]~feeder ; 0 ; 6 ; +; - RAM:inst1|memory[170][1]~feeder ; 0 ; 6 ; +; - RAM:inst1|memory[133][9]~feeder ; 0 ; 6 ; +; - RAM:inst1|memory[26][17]~feeder ; 0 ; 6 ; +; - RAM:inst1|memory[240][17]~feeder ; 0 ; 6 ; +; - RAM:inst1|memory[243][17]~feeder ; 0 ; 6 ; +; - RAM:inst1|memory[2][17]~feeder ; 0 ; 6 ; +; - RAM:inst1|memory[210][9]~feeder ; 0 ; 6 ; +; - RAM:inst1|memory[194][9]~feeder ; 0 ; 6 ; +; - RAM:inst1|memory[146][25]~feeder ; 0 ; 6 ; +; - RAM:inst1|memory[210][25]~feeder ; 0 ; 6 ; +; - RAM:inst1|memory[146][1]~feeder ; 0 ; 6 ; +; - RAM:inst1|memory[210][1]~feeder ; 0 ; 6 ; +; - RAM:inst1|memory[154][17]~feeder ; 0 ; 6 ; +; - RAM:inst1|memory[164][1]~feeder ; 0 ; 6 ; +; - RAM:inst1|memory[213][9]~feeder ; 0 ; 6 ; +; - RAM:inst1|memory[100][9]~feeder ; 0 ; 6 ; +; - RAM:inst1|memory[100][25]~feeder ; 0 ; 6 ; +; - RAM:inst1|memory[230][9]~feeder ; 0 ; 6 ; +; - RAM:inst1|memory[228][9]~feeder ; 0 ; 6 ; +; - RAM:inst1|memory[79][1]~feeder ; 0 ; 6 ; +; - RAM:inst1|memory[11][17]~feeder ; 0 ; 6 ; +; - RAM:inst1|memory[167][17]~feeder ; 0 ; 6 ; +; - RAM:inst1|memory[85][25]~feeder ; 0 ; 6 ; +; - RAM:inst1|memory[117][25]~feeder ; 0 ; 6 ; +; - RAM:inst1|memory[165][17]~feeder ; 0 ; 6 ; +; - RAM:inst1|memory[165][25]~feeder ; 0 ; 6 ; +; - RAM:inst1|memory[82][17]~feeder ; 0 ; 6 ; +; - RAM:inst1|memory[161][17]~feeder ; 0 ; 6 ; +; - RAM:inst1|memory[111][9]~feeder ; 0 ; 6 ; +; - RAM:inst1|memory[107][9]~feeder ; 0 ; 6 ; +; - RAM:inst1|memory[93][9]~feeder ; 0 ; 6 ; +; - RAM:inst1|memory[75][9]~feeder ; 0 ; 6 ; +; - RAM:inst1|memory[236][25]~feeder ; 0 ; 6 ; +; - RAM:inst1|memory[226][17]~feeder ; 0 ; 6 ; +; - RAM:inst1|memory[229][25]~feeder ; 0 ; 6 ; +; - RAM:inst1|memory[101][1]~feeder ; 0 ; 6 ; +; - RAM:inst1|memory[117][1]~feeder ; 0 ; 6 ; +; - RAM:inst1|memory[97][9]~feeder ; 0 ; 6 ; +; - RAM:inst1|memory[225][17]~feeder ; 0 ; 6 ; +; - RAM:inst1|memory[225][25]~feeder ; 0 ; 6 ; +; - RAM:inst1|memory[109][9]~feeder ; 0 ; 6 ; +; - RAM:inst1|memory[97][1]~feeder ; 0 ; 6 ; +; - RAM:inst1|memory[97][17]~feeder ; 0 ; 6 ; +; - RAM:inst1|memory[95][9]~feeder ; 0 ; 6 ; +; - RAM:inst1|memory[173][1]~feeder ; 0 ; 6 ; +; - RAM:inst1|memory[29][1]~feeder ; 0 ; 6 ; +; - RAM:inst1|memory[33][17]~feeder ; 0 ; 6 ; +; - RAM:inst1|memory[1][17]~feeder ; 0 ; 6 ; +; - RAM:inst1|memory[133][17]~feeder ; 0 ; 6 ; +; - RAM:inst1|memory[181][17]~feeder ; 0 ; 6 ; +; - RAM:inst1|memory[241][9]~feeder ; 0 ; 6 ; +; - RAM:inst1|memory[113][9]~feeder ; 0 ; 6 ; +; - RAM:inst1|memory[111][17]~feeder ; 0 ; 6 ; +; - RAM:inst1|memory[79][17]~feeder ; 0 ; 6 ; +; - RAM:inst1|memory[169][1]~feeder ; 0 ; 6 ; +; - RAM:inst1|memory[115][17]~feeder ; 0 ; 6 ; +; - RAM:inst1|memory[1][1]~feeder ; 0 ; 6 ; +; - RAM:inst1|memory[53][17]~feeder ; 0 ; 6 ; +; - RAM:inst1|memory[189][25]~feeder ; 0 ; 6 ; +; - RAM:inst1|memory[237][25]~feeder ; 0 ; 6 ; +; - RAM:inst1|memory[141][17]~feeder ; 0 ; 6 ; +; - RAM:inst1|memory[41][9]~feeder ; 0 ; 6 ; +; - RAM:inst1|memory[169][25]~feeder ; 0 ; 6 ; +; - RAM:inst1|memory[193][25]~feeder ; 0 ; 6 ; +; - RAM:inst1|memory[189][17]~feeder ; 0 ; 6 ; +; - RAM:inst1|memory[177][25]~feeder ; 0 ; 6 ; +; - RAM:inst1|memory[177][17]~feeder ; 0 ; 6 ; +; - RAM:inst1|memory[177][1]~feeder ; 0 ; 6 ; +; - RAM:inst1|memory[209][1]~feeder ; 0 ; 6 ; +; - RAM:inst1|memory[107][17]~feeder ; 0 ; 6 ; +; - RAM:inst1|memory[123][17]~feeder ; 0 ; 6 ; +; - RAM:inst1|memory[91][17]~feeder ; 0 ; 6 ; +; - RAM:inst1|memory[75][17]~feeder ; 0 ; 6 ; +; - RAM:inst1|memory[104][17]~feeder ; 0 ; 6 ; +; - RAM:inst1|memory[200][9]~feeder ; 0 ; 6 ; +; - RAM:inst1|memory[136][9]~feeder ; 0 ; 6 ; +; - RAM:inst1|memory[88][17]~feeder ; 0 ; 6 ; +; - RAM:inst1|memory[153][17]~feeder ; 0 ; 6 ; +; - RAM:inst1|memory[240][9]~feeder ; 0 ; 6 ; +; - RAM:inst1|memory[242][9]~feeder ; 0 ; 6 ; +; - RAM:inst1|memory[243][9]~feeder ; 0 ; 6 ; +; - RAM:inst1|memory[187][1]~feeder ; 0 ; 6 ; +; - RAM:inst1|memory[17][1]~feeder ; 0 ; 6 ; +; - RAM:inst1|memory[17][25]~feeder ; 0 ; 6 ; +; - RAM:inst1|memory[169][9]~feeder ; 0 ; 6 ; +; - RAM:inst1|memory[49][1]~feeder ; 0 ; 6 ; +; - RAM:inst1|memory[41][1]~feeder ; 0 ; 6 ; +; - RAM:inst1|memory[81][25]~feeder ; 0 ; 6 ; +; - RAM:inst1|memory[93][25]~feeder ; 0 ; 6 ; +; - RAM:inst1|memory[241][17]~feeder ; 0 ; 6 ; +; - RAM:inst1|memory[73][9]~feeder ; 0 ; 6 ; +; - RAM:inst1|memory[105][9]~feeder ; 0 ; 6 ; +; - RAM:inst1|memory[121][17]~feeder ; 0 ; 6 ; +; - RAM:inst1|memory[123][1]~feeder ; 0 ; 6 ; +; - RAM:inst1|memory[65][17]~feeder ; 0 ; 6 ; +; - RAM:inst1|memory[233][17]~feeder ; 0 ; 6 ; +; - RAM:inst1|memory[169][17]~feeder ; 0 ; 6 ; +; - RAM:inst1|memory[213][1]~feeder ; 0 ; 6 ; +; - RAM:inst1|memory[221][1]~feeder ; 0 ; 6 ; +; - RAM:inst1|memory[9][9]~feeder ; 0 ; 6 ; +; - RAM:inst1|memory[11][9]~feeder ; 0 ; 6 ; +; - RAM:inst1|memory[81][1]~feeder ; 0 ; 6 ; +; - RAM:inst1|memory[65][1]~feeder ; 0 ; 6 ; +; - RAM:inst1|memory[13][25]~feeder ; 0 ; 6 ; +; - RAM:inst1|memory[25][9]~feeder ; 0 ; 6 ; +; - RAM:inst1|memory[29][9]~feeder ; 0 ; 6 ; +; - RAM:inst1|memory[187][9]~feeder ; 0 ; 6 ; +; - RAM:inst1|memory[186][9]~feeder ; 0 ; 6 ; +; - RAM:inst1|memory[90][9]~feeder ; 0 ; 6 ; +; - RAM:inst1|memory[234][17]~feeder ; 0 ; 6 ; +; - RAM:inst1|memory[106][17]~feeder ; 0 ; 6 ; +; - RAM:inst1|memory[170][25]~feeder ; 0 ; 6 ; +; - RAM:inst1|memory[218][17]~feeder ; 0 ; 6 ; +; - RAM:inst1|memory[186][1]~feeder ; 0 ; 6 ; +; - RAM:inst1|memory[184][1]~feeder ; 0 ; 6 ; +; - RAM:inst1|memory[217][9]~feeder ; 0 ; 6 ; +; - RAM:inst1|memory[120][9]~feeder ; 0 ; 6 ; +; - RAM:inst1|memory[104][9]~feeder ; 0 ; 6 ; +; - RAM:inst1|memory[184][25]~feeder ; 0 ; 6 ; +; - RAM:inst1|memory[89][17]~feeder ; 0 ; 6 ; +; - RAM:inst1|memory[81][17]~feeder ; 0 ; 6 ; +; - RAM:inst1|memory[170][9]~feeder ; 0 ; 6 ; +; - RAM:inst1|memory[42][9]~feeder ; 0 ; 6 ; +; - RAM:inst1|memory[200][17]~feeder ; 0 ; 6 ; +; - RAM:inst1|memory[152][9]~feeder ; 0 ; 6 ; +; - RAM:inst1|memory[187][17]~feeder ; 0 ; 6 ; +; - RAM:inst1|memory[10][9]~feeder ; 0 ; 6 ; +; - RAM:inst1|memory[87][17]~feeder ; 0 ; 6 ; +; - RAM:inst1|memory[95][17]~feeder ; 0 ; 6 ; +; - RAM:inst1|memory[93][17]~feeder ; 0 ; 6 ; +; - RAM:inst1|memory[85][17]~feeder ; 0 ; 6 ; +; - RAM:inst1|memory[28][9]~feeder ; 0 ; 6 ; +; - RAM:inst1|memory[24][9]~feeder ; 0 ; 6 ; +; - RAM:inst1|memory[216][17]~feeder ; 0 ; 6 ; +; - RAM:inst1|memory[42][25]~feeder ; 0 ; 6 ; +; - RAM:inst1|memory[202][9]~feeder ; 0 ; 6 ; +; - RAM:inst1|memory[229][1]~feeder ; 1 ; 6 ; +; - RAM:inst1|memory[33][9]~feeder ; 1 ; 6 ; +; - RAM:inst1|memory[193][9]~feeder ; 1 ; 6 ; +; - RAM:inst1|memory[162][17]~feeder ; 1 ; 6 ; +; Data[0] ; ; ; +; - RAM:inst1|memory[154][8] ; 0 ; 6 ; +; - RAM:inst1|memory[90][8] ; 0 ; 6 ; +; - RAM:inst1|memory[26][8] ; 0 ; 6 ; +; - RAM:inst1|memory[22][8] ; 0 ; 6 ; +; - RAM:inst1|memory[214][8] ; 0 ; 6 ; +; - RAM:inst1|memory[146][8] ; 0 ; 6 ; +; - RAM:inst1|memory[18][8] ; 0 ; 6 ; +; - RAM:inst1|memory[210][8] ; 0 ; 6 ; +; - RAM:inst1|memory[94][8] ; 0 ; 6 ; +; - RAM:inst1|memory[30][8] ; 0 ; 6 ; +; - RAM:inst1|memory[85][8] ; 0 ; 6 ; +; - RAM:inst1|memory[89][8] ; 0 ; 6 ; +; - RAM:inst1|memory[81][8] ; 0 ; 6 ; +; - RAM:inst1|memory[93][8] ; 0 ; 6 ; +; - RAM:inst1|memory[153][8] ; 0 ; 6 ; +; - RAM:inst1|memory[145][8] ; 0 ; 6 ; +; - RAM:inst1|memory[157][8] ; 0 ; 6 ; +; - RAM:inst1|memory[21][8] ; 0 ; 6 ; +; - RAM:inst1|memory[17][8] ; 0 ; 6 ; +; - RAM:inst1|memory[29][8] ; 0 ; 6 ; +; - RAM:inst1|memory[209][8] ; 0 ; 6 ; +; - RAM:inst1|memory[221][8] ; 0 ; 6 ; +; - RAM:inst1|memory[84][8] ; 0 ; 6 ; +; - RAM:inst1|memory[20][8] ; 0 ; 6 ; +; - RAM:inst1|memory[212][8] ; 0 ; 6 ; +; - RAM:inst1|memory[24][8] ; 0 ; 6 ; +; - RAM:inst1|memory[216][8] ; 0 ; 6 ; +; - RAM:inst1|memory[16][8] ; 0 ; 6 ; +; - RAM:inst1|memory[208][8] ; 0 ; 6 ; +; - RAM:inst1|memory[156][8] ; 0 ; 6 ; +; - RAM:inst1|memory[92][8] ; 0 ; 6 ; +; - RAM:inst1|memory[28][8] ; 0 ; 6 ; +; - RAM:inst1|memory[220][8] ; 0 ; 6 ; +; - RAM:inst1|memory[151][8] ; 0 ; 6 ; +; - RAM:inst1|memory[155][8] ; 0 ; 6 ; +; - RAM:inst1|memory[147][8] ; 0 ; 6 ; +; - RAM:inst1|memory[159][8] ; 0 ; 6 ; +; - RAM:inst1|memory[91][8] ; 0 ; 6 ; +; - RAM:inst1|memory[87][8] ; 0 ; 6 ; +; - RAM:inst1|memory[83][8] ; 0 ; 6 ; +; - RAM:inst1|memory[95][8] ; 0 ; 6 ; +; - RAM:inst1|memory[19][8] ; 0 ; 6 ; +; - RAM:inst1|memory[31][8] ; 0 ; 6 ; +; - RAM:inst1|memory[219][8] ; 0 ; 6 ; +; - RAM:inst1|memory[211][8] ; 0 ; 6 ; +; - RAM:inst1|memory[223][8] ; 0 ; 6 ; +; - RAM:inst1|memory[88][16] ; 0 ; 6 ; +; - RAM:inst1|memory[91][16] ; 0 ; 6 ; +; - RAM:inst1|memory[84][16] ; 0 ; 6 ; +; - RAM:inst1|memory[87][16] ; 0 ; 6 ; +; - RAM:inst1|memory[82][16] ; 0 ; 6 ; +; - RAM:inst1|memory[80][16] ; 0 ; 6 ; +; - RAM:inst1|memory[83][16] ; 0 ; 6 ; +; - RAM:inst1|memory[93][16] ; 0 ; 6 ; +; - RAM:inst1|memory[92][16] ; 0 ; 6 ; +; - RAM:inst1|memory[95][16] ; 0 ; 6 ; +; - RAM:inst1|memory[150][16] ; 0 ; 6 ; +; - RAM:inst1|memory[148][16] ; 0 ; 6 ; +; - RAM:inst1|memory[151][16] ; 0 ; 6 ; +; - RAM:inst1|memory[153][16] ; 0 ; 6 ; +; - RAM:inst1|memory[152][16] ; 0 ; 6 ; +; - RAM:inst1|memory[155][16] ; 0 ; 6 ; +; - RAM:inst1|memory[145][16] ; 0 ; 6 ; +; - RAM:inst1|memory[144][16] ; 0 ; 6 ; +; - RAM:inst1|memory[147][16] ; 0 ; 6 ; +; - RAM:inst1|memory[157][16] ; 0 ; 6 ; +; - RAM:inst1|memory[156][16] ; 0 ; 6 ; +; - RAM:inst1|memory[159][16] ; 0 ; 6 ; +; - RAM:inst1|memory[21][16] ; 0 ; 6 ; +; - RAM:inst1|memory[22][16] ; 0 ; 6 ; +; - RAM:inst1|memory[20][16] ; 0 ; 6 ; +; - RAM:inst1|memory[23][16] ; 0 ; 6 ; +; - RAM:inst1|memory[26][16] ; 0 ; 6 ; +; - RAM:inst1|memory[24][16] ; 0 ; 6 ; +; - RAM:inst1|memory[27][16] ; 0 ; 6 ; +; - RAM:inst1|memory[16][16] ; 0 ; 6 ; +; - RAM:inst1|memory[19][16] ; 0 ; 6 ; +; - RAM:inst1|memory[29][16] ; 0 ; 6 ; +; - RAM:inst1|memory[30][16] ; 0 ; 6 ; +; - RAM:inst1|memory[28][16] ; 0 ; 6 ; +; - RAM:inst1|memory[31][16] ; 0 ; 6 ; +; - RAM:inst1|memory[216][16] ; 0 ; 6 ; +; - RAM:inst1|memory[219][16] ; 0 ; 6 ; +; - RAM:inst1|memory[213][16] ; 0 ; 6 ; +; - RAM:inst1|memory[214][16] ; 0 ; 6 ; +; - RAM:inst1|memory[212][16] ; 0 ; 6 ; +; - RAM:inst1|memory[215][16] ; 0 ; 6 ; +; - RAM:inst1|memory[210][16] ; 0 ; 6 ; +; - RAM:inst1|memory[208][16] ; 0 ; 6 ; +; - RAM:inst1|memory[211][16] ; 0 ; 6 ; +; - RAM:inst1|memory[222][16] ; 0 ; 6 ; +; - RAM:inst1|memory[220][16] ; 0 ; 6 ; +; - RAM:inst1|memory[223][16] ; 0 ; 6 ; +; - RAM:inst1|memory[85][0] ; 0 ; 6 ; +; - RAM:inst1|memory[149][0] ; 0 ; 6 ; +; - RAM:inst1|memory[21][0] ; 0 ; 6 ; +; - RAM:inst1|memory[213][0] ; 0 ; 6 ; +; - RAM:inst1|memory[153][0] ; 0 ; 6 ; +; - RAM:inst1|memory[89][0] ; 0 ; 6 ; +; - RAM:inst1|memory[25][0] ; 0 ; 6 ; +; - RAM:inst1|memory[217][0] ; 0 ; 6 ; +; - RAM:inst1|memory[145][0] ; 0 ; 6 ; +; - RAM:inst1|memory[17][0] ; 0 ; 6 ; +; - RAM:inst1|memory[209][0] ; 0 ; 6 ; +; - RAM:inst1|memory[157][0] ; 0 ; 6 ; +; - RAM:inst1|memory[29][0] ; 0 ; 6 ; +; - RAM:inst1|memory[221][0] ; 0 ; 6 ; +; - RAM:inst1|memory[154][0] ; 0 ; 6 ; +; - RAM:inst1|memory[26][0] ; 0 ; 6 ; +; - RAM:inst1|memory[218][0] ; 0 ; 6 ; +; - RAM:inst1|memory[22][0] ; 0 ; 6 ; +; - RAM:inst1|memory[214][0] ; 0 ; 6 ; +; - RAM:inst1|memory[146][0] ; 0 ; 6 ; +; - RAM:inst1|memory[18][0] ; 0 ; 6 ; +; - RAM:inst1|memory[210][0] ; 0 ; 6 ; +; - RAM:inst1|memory[30][0] ; 0 ; 6 ; +; - RAM:inst1|memory[222][0] ; 0 ; 6 ; +; - RAM:inst1|memory[152][0] ; 0 ; 6 ; +; - RAM:inst1|memory[24][0] ; 0 ; 6 ; +; - RAM:inst1|memory[216][0] ; 0 ; 6 ; +; - RAM:inst1|memory[84][0] ; 0 ; 6 ; +; - RAM:inst1|memory[148][0] ; 0 ; 6 ; +; - RAM:inst1|memory[20][0] ; 0 ; 6 ; +; - RAM:inst1|memory[212][0] ; 0 ; 6 ; +; - RAM:inst1|memory[144][0] ; 0 ; 6 ; +; - RAM:inst1|memory[16][0] ; 0 ; 6 ; +; - RAM:inst1|memory[208][0] ; 0 ; 6 ; +; - RAM:inst1|memory[156][0] ; 0 ; 6 ; +; - RAM:inst1|memory[28][0] ; 0 ; 6 ; +; - RAM:inst1|memory[220][0] ; 0 ; 6 ; +; - RAM:inst1|memory[151][0] ; 0 ; 6 ; +; - RAM:inst1|memory[155][0] ; 0 ; 6 ; +; - RAM:inst1|memory[147][0] ; 0 ; 6 ; +; - RAM:inst1|memory[159][0] ; 0 ; 6 ; +; - RAM:inst1|memory[83][0] ; 0 ; 6 ; +; - RAM:inst1|memory[95][0] ; 0 ; 6 ; +; - RAM:inst1|memory[19][0] ; 0 ; 6 ; +; - RAM:inst1|memory[31][0] ; 0 ; 6 ; +; - RAM:inst1|memory[219][0] ; 0 ; 6 ; +; - RAM:inst1|memory[211][0] ; 0 ; 6 ; +; - RAM:inst1|memory[223][0] ; 0 ; 6 ; +; - RAM:inst1|memory[89][24] ; 0 ; 6 ; +; - RAM:inst1|memory[153][24] ; 0 ; 6 ; +; - RAM:inst1|memory[25][24] ; 0 ; 6 ; +; - RAM:inst1|memory[85][24] ; 0 ; 6 ; +; - RAM:inst1|memory[21][24] ; 0 ; 6 ; +; - RAM:inst1|memory[213][24] ; 0 ; 6 ; +; - RAM:inst1|memory[81][24] ; 0 ; 6 ; +; - RAM:inst1|memory[17][24] ; 0 ; 6 ; +; - RAM:inst1|memory[209][24] ; 0 ; 6 ; +; - RAM:inst1|memory[93][24] ; 0 ; 6 ; +; - RAM:inst1|memory[29][24] ; 0 ; 6 ; +; - RAM:inst1|memory[221][24] ; 0 ; 6 ; +; - RAM:inst1|memory[90][24] ; 0 ; 6 ; +; - RAM:inst1|memory[82][24] ; 0 ; 6 ; +; - RAM:inst1|memory[94][24] ; 0 ; 6 ; +; - RAM:inst1|memory[154][24] ; 0 ; 6 ; +; - RAM:inst1|memory[146][24] ; 0 ; 6 ; +; - RAM:inst1|memory[158][24] ; 0 ; 6 ; +; - RAM:inst1|memory[22][24] ; 0 ; 6 ; +; - RAM:inst1|memory[18][24] ; 0 ; 6 ; +; - RAM:inst1|memory[30][24] ; 0 ; 6 ; +; - RAM:inst1|memory[218][24] ; 0 ; 6 ; +; - RAM:inst1|memory[214][24] ; 0 ; 6 ; +; - RAM:inst1|memory[210][24] ; 0 ; 6 ; +; - RAM:inst1|memory[222][24] ; 0 ; 6 ; +; - RAM:inst1|memory[20][24] ; 0 ; 6 ; +; - RAM:inst1|memory[212][24] ; 0 ; 6 ; +; - RAM:inst1|memory[24][24] ; 0 ; 6 ; +; - RAM:inst1|memory[216][24] ; 0 ; 6 ; +; - RAM:inst1|memory[144][24] ; 0 ; 6 ; +; - RAM:inst1|memory[16][24] ; 0 ; 6 ; +; - RAM:inst1|memory[208][24] ; 0 ; 6 ; +; - RAM:inst1|memory[28][24] ; 0 ; 6 ; +; - RAM:inst1|memory[220][24] ; 0 ; 6 ; +; - RAM:inst1|memory[87][24] ; 0 ; 6 ; +; - RAM:inst1|memory[23][24] ; 0 ; 6 ; +; - RAM:inst1|memory[215][24] ; 0 ; 6 ; +; - RAM:inst1|memory[91][24] ; 0 ; 6 ; +; - RAM:inst1|memory[27][24] ; 0 ; 6 ; +; - RAM:inst1|memory[219][24] ; 0 ; 6 ; +; - RAM:inst1|memory[147][24] ; 0 ; 6 ; +; - RAM:inst1|memory[19][24] ; 0 ; 6 ; +; - RAM:inst1|memory[211][24] ; 0 ; 6 ; +; - RAM:inst1|memory[95][24] ; 0 ; 6 ; +; - RAM:inst1|memory[31][24] ; 0 ; 6 ; +; - RAM:inst1|memory[223][24] ; 0 ; 6 ; +; - RAM:inst1|memory[101][8] ; 0 ; 6 ; +; - RAM:inst1|memory[101][16] ; 0 ; 6 ; +; - RAM:inst1|memory[101][0] ; 0 ; 6 ; +; - RAM:inst1|memory[105][16] ; 0 ; 6 ; +; - RAM:inst1|memory[105][0] ; 0 ; 6 ; +; - RAM:inst1|memory[105][24] ; 0 ; 6 ; +; - RAM:inst1|memory[97][16] ; 0 ; 6 ; +; - RAM:inst1|memory[97][8] ; 0 ; 6 ; +; - RAM:inst1|memory[97][0] ; 0 ; 6 ; +; - RAM:inst1|memory[97][24] ; 0 ; 6 ; +; - RAM:inst1|memory[109][8] ; 0 ; 6 ; +; - RAM:inst1|memory[109][16] ; 0 ; 6 ; +; - RAM:inst1|memory[109][0] ; 0 ; 6 ; +; - RAM:inst1|memory[109][24] ; 0 ; 6 ; +; - RAM:inst1|memory[106][0] ; 0 ; 6 ; +; - RAM:inst1|memory[106][24] ; 0 ; 6 ; +; - RAM:inst1|memory[102][16] ; 0 ; 6 ; +; - RAM:inst1|memory[102][0] ; 0 ; 6 ; +; - RAM:inst1|memory[102][24] ; 0 ; 6 ; +; - RAM:inst1|memory[98][8] ; 0 ; 6 ; +; - RAM:inst1|memory[98][0] ; 0 ; 6 ; +; - RAM:inst1|memory[98][24] ; 0 ; 6 ; +; - RAM:inst1|memory[110][8] ; 0 ; 6 ; +; - RAM:inst1|memory[110][0] ; 0 ; 6 ; +; - RAM:inst1|memory[110][24] ; 0 ; 6 ; +; - RAM:inst1|memory[104][0] ; 0 ; 6 ; +; - RAM:inst1|memory[104][24] ; 0 ; 6 ; +; - RAM:inst1|memory[100][8] ; 0 ; 6 ; +; - RAM:inst1|memory[100][16] ; 0 ; 6 ; +; - RAM:inst1|memory[100][0] ; 0 ; 6 ; +; - RAM:inst1|memory[100][24] ; 0 ; 6 ; +; - RAM:inst1|memory[96][8] ; 0 ; 6 ; +; - RAM:inst1|memory[96][0] ; 0 ; 6 ; +; - RAM:inst1|memory[96][24] ; 0 ; 6 ; +; - RAM:inst1|memory[108][8] ; 0 ; 6 ; +; - RAM:inst1|memory[108][0] ; 0 ; 6 ; +; - RAM:inst1|memory[108][24] ; 0 ; 6 ; +; - RAM:inst1|memory[103][16] ; 0 ; 6 ; +; - RAM:inst1|memory[103][0] ; 0 ; 6 ; +; - RAM:inst1|memory[103][24] ; 0 ; 6 ; +; - RAM:inst1|memory[107][16] ; 0 ; 6 ; +; - RAM:inst1|memory[107][8] ; 0 ; 6 ; +; - RAM:inst1|memory[107][0] ; 0 ; 6 ; +; - RAM:inst1|memory[107][24] ; 0 ; 6 ; +; - RAM:inst1|memory[99][16] ; 0 ; 6 ; +; - RAM:inst1|memory[99][0] ; 0 ; 6 ; +; - RAM:inst1|memory[99][24] ; 0 ; 6 ; +; - RAM:inst1|memory[111][8] ; 0 ; 6 ; +; - RAM:inst1|memory[111][0] ; 0 ; 6 ; +; - RAM:inst1|memory[111][24] ; 0 ; 6 ; +; - RAM:inst1|memory[170][16] ; 0 ; 6 ; +; - RAM:inst1|memory[162][16] ; 0 ; 6 ; +; - RAM:inst1|memory[174][16] ; 0 ; 6 ; +; - RAM:inst1|memory[166][8] ; 0 ; 6 ; +; - RAM:inst1|memory[170][8] ; 0 ; 6 ; +; - RAM:inst1|memory[162][8] ; 0 ; 6 ; +; - RAM:inst1|memory[174][8] ; 0 ; 6 ; +; - RAM:inst1|memory[170][0] ; 0 ; 6 ; +; - RAM:inst1|memory[166][0] ; 0 ; 6 ; +; - RAM:inst1|memory[162][0] ; 0 ; 6 ; +; - RAM:inst1|memory[174][0] ; 0 ; 6 ; +; - RAM:inst1|memory[166][24] ; 0 ; 6 ; +; - RAM:inst1|memory[170][24] ; 0 ; 6 ; +; - RAM:inst1|memory[162][24] ; 0 ; 6 ; +; - RAM:inst1|memory[174][24] ; 0 ; 6 ; +; - RAM:inst1|memory[165][16] ; 0 ; 6 ; +; - RAM:inst1|memory[165][0] ; 0 ; 6 ; +; - RAM:inst1|memory[165][24] ; 0 ; 6 ; +; - RAM:inst1|memory[169][16] ; 0 ; 6 ; +; - RAM:inst1|memory[169][8] ; 0 ; 6 ; +; - RAM:inst1|memory[169][0] ; 0 ; 6 ; +; - RAM:inst1|memory[169][24] ; 0 ; 6 ; +; - RAM:inst1|memory[161][8] ; 0 ; 6 ; +; - RAM:inst1|memory[161][0] ; 0 ; 6 ; +; - RAM:inst1|memory[161][24] ; 0 ; 6 ; +; - RAM:inst1|memory[173][8] ; 0 ; 6 ; +; - RAM:inst1|memory[173][16] ; 0 ; 6 ; +; - RAM:inst1|memory[173][0] ; 0 ; 6 ; +; - RAM:inst1|memory[173][24] ; 0 ; 6 ; +; - RAM:inst1|memory[168][16] ; 0 ; 6 ; +; - RAM:inst1|memory[168][8] ; 0 ; 6 ; +; - RAM:inst1|memory[168][0] ; 0 ; 6 ; +; - RAM:inst1|memory[168][24] ; 0 ; 6 ; +; - RAM:inst1|memory[164][16] ; 0 ; 6 ; +; - RAM:inst1|memory[164][0] ; 0 ; 6 ; +; - RAM:inst1|memory[164][24] ; 0 ; 6 ; +; - RAM:inst1|memory[160][16] ; 0 ; 6 ; +; - RAM:inst1|memory[160][8] ; 0 ; 6 ; +; - RAM:inst1|memory[160][0] ; 0 ; 6 ; +; - RAM:inst1|memory[160][24] ; 0 ; 6 ; +; - RAM:inst1|memory[172][8] ; 0 ; 6 ; +; - RAM:inst1|memory[172][16] ; 0 ; 6 ; +; - RAM:inst1|memory[172][0] ; 0 ; 6 ; +; - RAM:inst1|memory[172][24] ; 0 ; 6 ; +; - RAM:inst1|memory[171][0] ; 0 ; 6 ; +; - RAM:inst1|memory[171][24] ; 0 ; 6 ; +; - RAM:inst1|memory[167][16] ; 0 ; 6 ; +; - RAM:inst1|memory[167][0] ; 0 ; 6 ; +; - RAM:inst1|memory[167][24] ; 0 ; 6 ; +; - RAM:inst1|memory[163][8] ; 0 ; 6 ; +; - RAM:inst1|memory[163][16] ; 0 ; 6 ; +; - RAM:inst1|memory[163][0] ; 0 ; 6 ; +; - RAM:inst1|memory[163][24] ; 0 ; 6 ; +; - RAM:inst1|memory[175][8] ; 0 ; 6 ; +; - RAM:inst1|memory[175][0] ; 0 ; 6 ; +; - RAM:inst1|memory[175][24] ; 0 ; 6 ; +; - RAM:inst1|memory[42][16] ; 0 ; 6 ; +; - RAM:inst1|memory[42][0] ; 0 ; 6 ; +; - RAM:inst1|memory[42][24] ; 0 ; 6 ; +; - RAM:inst1|memory[38][8] ; 0 ; 6 ; +; - RAM:inst1|memory[38][16] ; 0 ; 6 ; +; - RAM:inst1|memory[38][0] ; 0 ; 6 ; +; - RAM:inst1|memory[38][24] ; 0 ; 6 ; +; - RAM:inst1|memory[34][16] ; 0 ; 6 ; +; - RAM:inst1|memory[34][8] ; 0 ; 6 ; +; - RAM:inst1|memory[34][0] ; 0 ; 6 ; +; - RAM:inst1|memory[34][24] ; 0 ; 6 ; +; - RAM:inst1|memory[46][8] ; 0 ; 6 ; +; - RAM:inst1|memory[46][16] ; 0 ; 6 ; +; - RAM:inst1|memory[46][0] ; 0 ; 6 ; +; - RAM:inst1|memory[46][24] ; 0 ; 6 ; +; - RAM:inst1|memory[37][0] ; 0 ; 6 ; +; - RAM:inst1|memory[37][24] ; 0 ; 6 ; +; - RAM:inst1|memory[41][8] ; 0 ; 6 ; +; - RAM:inst1|memory[41][0] ; 0 ; 6 ; +; - RAM:inst1|memory[41][24] ; 0 ; 6 ; +; - RAM:inst1|memory[33][16] ; 0 ; 6 ; +; - RAM:inst1|memory[33][8] ; 0 ; 6 ; +; - RAM:inst1|memory[33][0] ; 0 ; 6 ; +; - RAM:inst1|memory[33][24] ; 0 ; 6 ; +; - RAM:inst1|memory[45][8] ; 0 ; 6 ; +; - RAM:inst1|memory[45][0] ; 0 ; 6 ; +; - RAM:inst1|memory[45][24] ; 0 ; 6 ; +; - RAM:inst1|memory[40][8] ; 0 ; 6 ; +; - RAM:inst1|memory[40][0] ; 0 ; 6 ; +; - RAM:inst1|memory[40][24] ; 0 ; 6 ; +; - RAM:inst1|memory[36][16] ; 0 ; 6 ; +; - RAM:inst1|memory[36][0] ; 0 ; 6 ; +; - RAM:inst1|memory[36][24] ; 0 ; 6 ; +; - RAM:inst1|memory[32][16] ; 0 ; 6 ; +; - RAM:inst1|memory[32][8] ; 0 ; 6 ; +; - RAM:inst1|memory[32][0] ; 0 ; 6 ; +; - RAM:inst1|memory[32][24] ; 0 ; 6 ; +; - RAM:inst1|memory[44][8] ; 0 ; 6 ; +; - RAM:inst1|memory[44][0] ; 0 ; 6 ; +; - RAM:inst1|memory[44][24] ; 0 ; 6 ; +; - RAM:inst1|memory[39][16] ; 0 ; 6 ; +; - RAM:inst1|memory[39][0] ; 0 ; 6 ; +; - RAM:inst1|memory[39][24] ; 0 ; 6 ; +; - RAM:inst1|memory[43][16] ; 0 ; 6 ; +; - RAM:inst1|memory[43][8] ; 0 ; 6 ; +; - RAM:inst1|memory[43][0] ; 0 ; 6 ; +; - RAM:inst1|memory[43][24] ; 0 ; 6 ; +; - RAM:inst1|memory[35][16] ; 0 ; 6 ; +; - RAM:inst1|memory[35][0] ; 0 ; 6 ; +; - RAM:inst1|memory[35][24] ; 0 ; 6 ; +; - RAM:inst1|memory[47][16] ; 0 ; 6 ; +; - RAM:inst1|memory[47][0] ; 0 ; 6 ; +; - RAM:inst1|memory[47][24] ; 0 ; 6 ; +; - RAM:inst1|memory[233][8] ; 0 ; 6 ; +; - RAM:inst1|memory[234][8] ; 0 ; 6 ; +; - RAM:inst1|memory[232][8] ; 0 ; 6 ; +; - RAM:inst1|memory[235][8] ; 0 ; 6 ; +; - RAM:inst1|memory[234][16] ; 0 ; 6 ; +; - RAM:inst1|memory[232][16] ; 0 ; 6 ; +; - RAM:inst1|memory[235][16] ; 0 ; 6 ; +; - RAM:inst1|memory[234][0] ; 0 ; 6 ; +; - RAM:inst1|memory[233][0] ; 0 ; 6 ; +; - RAM:inst1|memory[232][0] ; 0 ; 6 ; +; - RAM:inst1|memory[235][0] ; 0 ; 6 ; +; - RAM:inst1|memory[234][24] ; 0 ; 6 ; +; - RAM:inst1|memory[232][24] ; 0 ; 6 ; +; - RAM:inst1|memory[235][24] ; 0 ; 6 ; +; - RAM:inst1|memory[230][16] ; 0 ; 6 ; +; - RAM:inst1|memory[228][16] ; 0 ; 6 ; +; - RAM:inst1|memory[231][16] ; 0 ; 6 ; +; - RAM:inst1|memory[229][8] ; 0 ; 6 ; +; - RAM:inst1|memory[230][8] ; 0 ; 6 ; +; - RAM:inst1|memory[228][8] ; 0 ; 6 ; +; - RAM:inst1|memory[231][8] ; 0 ; 6 ; +; - RAM:inst1|memory[228][0] ; 0 ; 6 ; +; - RAM:inst1|memory[231][0] ; 0 ; 6 ; +; - RAM:inst1|memory[228][24] ; 0 ; 6 ; +; - RAM:inst1|memory[231][24] ; 0 ; 6 ; +; - RAM:inst1|memory[225][8] ; 0 ; 6 ; +; - RAM:inst1|memory[226][8] ; 0 ; 6 ; +; - RAM:inst1|memory[224][8] ; 0 ; 6 ; +; - RAM:inst1|memory[227][8] ; 0 ; 6 ; +; - RAM:inst1|memory[226][16] ; 0 ; 6 ; +; - RAM:inst1|memory[225][16] ; 0 ; 6 ; +; - RAM:inst1|memory[224][16] ; 0 ; 6 ; +; - RAM:inst1|memory[227][16] ; 0 ; 6 ; +; - RAM:inst1|memory[226][0] ; 0 ; 6 ; +; - RAM:inst1|memory[225][0] ; 0 ; 6 ; +; - RAM:inst1|memory[224][0] ; 0 ; 6 ; +; - RAM:inst1|memory[227][0] ; 0 ; 6 ; +; - RAM:inst1|memory[225][24] ; 0 ; 6 ; +; - RAM:inst1|memory[226][24] ; 0 ; 6 ; +; - RAM:inst1|memory[224][24] ; 0 ; 6 ; +; - RAM:inst1|memory[227][24] ; 0 ; 6 ; +; - RAM:inst1|memory[238][16] ; 0 ; 6 ; +; - RAM:inst1|memory[237][16] ; 0 ; 6 ; +; - RAM:inst1|memory[236][16] ; 0 ; 6 ; +; - RAM:inst1|memory[239][16] ; 0 ; 6 ; +; - RAM:inst1|memory[237][8] ; 0 ; 6 ; +; - RAM:inst1|memory[236][8] ; 0 ; 6 ; +; - RAM:inst1|memory[239][8] ; 0 ; 6 ; +; - RAM:inst1|memory[237][0] ; 0 ; 6 ; +; - RAM:inst1|memory[236][0] ; 0 ; 6 ; +; - RAM:inst1|memory[239][0] ; 0 ; 6 ; +; - RAM:inst1|memory[236][24] ; 0 ; 6 ; +; - RAM:inst1|memory[239][24] ; 0 ; 6 ; +; - RAM:inst1|memory[133][16] ; 0 ; 6 ; +; - RAM:inst1|memory[129][16] ; 0 ; 6 ; +; - RAM:inst1|memory[141][16] ; 0 ; 6 ; +; - RAM:inst1|memory[137][8] ; 0 ; 6 ; +; - RAM:inst1|memory[133][8] ; 0 ; 6 ; +; - RAM:inst1|memory[129][8] ; 0 ; 6 ; +; - RAM:inst1|memory[141][8] ; 0 ; 6 ; +; - RAM:inst1|memory[129][0] ; 0 ; 6 ; +; - RAM:inst1|memory[141][0] ; 0 ; 6 ; +; - RAM:inst1|memory[137][24] ; 0 ; 6 ; +; - RAM:inst1|memory[133][24] ; 0 ; 6 ; +; - RAM:inst1|memory[129][24] ; 0 ; 6 ; +; - RAM:inst1|memory[141][24] ; 0 ; 6 ; +; - RAM:inst1|memory[134][8] ; 0 ; 6 ; +; - RAM:inst1|memory[130][8] ; 0 ; 6 ; +; - RAM:inst1|memory[142][8] ; 0 ; 6 ; +; - RAM:inst1|memory[138][16] ; 0 ; 6 ; +; - RAM:inst1|memory[130][16] ; 0 ; 6 ; +; - RAM:inst1|memory[142][16] ; 0 ; 6 ; +; - RAM:inst1|memory[134][0] ; 0 ; 6 ; +; - RAM:inst1|memory[130][0] ; 0 ; 6 ; +; - RAM:inst1|memory[142][0] ; 0 ; 6 ; +; - RAM:inst1|memory[134][24] ; 0 ; 6 ; +; - RAM:inst1|memory[130][24] ; 0 ; 6 ; +; - RAM:inst1|memory[142][24] ; 0 ; 6 ; +; - RAM:inst1|memory[136][8] ; 0 ; 6 ; +; - RAM:inst1|memory[132][8] ; 0 ; 6 ; +; - RAM:inst1|memory[128][8] ; 0 ; 6 ; +; - RAM:inst1|memory[140][8] ; 0 ; 6 ; +; - RAM:inst1|memory[128][16] ; 0 ; 6 ; +; - RAM:inst1|memory[140][16] ; 0 ; 6 ; +; - RAM:inst1|memory[132][0] ; 0 ; 6 ; +; - RAM:inst1|memory[128][0] ; 0 ; 6 ; +; - RAM:inst1|memory[140][0] ; 0 ; 6 ; +; - RAM:inst1|memory[136][24] ; 0 ; 6 ; +; - RAM:inst1|memory[128][24] ; 0 ; 6 ; +; - RAM:inst1|memory[140][24] ; 0 ; 6 ; +; - RAM:inst1|memory[135][16] ; 0 ; 6 ; +; - RAM:inst1|memory[131][16] ; 0 ; 6 ; +; - RAM:inst1|memory[143][16] ; 0 ; 6 ; +; - RAM:inst1|memory[139][8] ; 0 ; 6 ; +; - RAM:inst1|memory[131][8] ; 0 ; 6 ; +; - RAM:inst1|memory[143][8] ; 0 ; 6 ; +; - RAM:inst1|memory[135][0] ; 0 ; 6 ; +; - RAM:inst1|memory[139][0] ; 0 ; 6 ; +; - RAM:inst1|memory[131][0] ; 0 ; 6 ; +; - RAM:inst1|memory[143][0] ; 0 ; 6 ; +; - RAM:inst1|memory[131][24] ; 0 ; 6 ; +; - RAM:inst1|memory[143][24] ; 0 ; 6 ; +; - RAM:inst1|memory[66][8] ; 0 ; 6 ; +; - RAM:inst1|memory[78][8] ; 0 ; 6 ; +; - RAM:inst1|memory[66][16] ; 0 ; 6 ; +; - RAM:inst1|memory[78][16] ; 0 ; 6 ; +; - RAM:inst1|memory[66][0] ; 0 ; 6 ; +; - RAM:inst1|memory[78][0] ; 0 ; 6 ; +; - RAM:inst1|memory[70][24] ; 0 ; 6 ; +; - RAM:inst1|memory[66][24] ; 0 ; 6 ; +; - RAM:inst1|memory[78][24] ; 0 ; 6 ; +; - RAM:inst1|memory[69][16] ; 0 ; 6 ; +; - RAM:inst1|memory[73][16] ; 0 ; 6 ; +; - RAM:inst1|memory[65][16] ; 0 ; 6 ; +; - RAM:inst1|memory[77][16] ; 0 ; 6 ; +; - RAM:inst1|memory[65][8] ; 0 ; 6 ; +; - RAM:inst1|memory[77][8] ; 0 ; 6 ; +; - RAM:inst1|memory[69][0] ; 0 ; 6 ; +; - RAM:inst1|memory[65][0] ; 0 ; 6 ; +; - RAM:inst1|memory[77][0] ; 0 ; 6 ; +; - RAM:inst1|memory[73][24] ; 0 ; 6 ; +; - RAM:inst1|memory[65][24] ; 0 ; 6 ; +; - RAM:inst1|memory[77][24] ; 0 ; 6 ; +; - RAM:inst1|memory[72][8] ; 0 ; 6 ; +; - RAM:inst1|memory[64][8] ; 0 ; 6 ; +; - RAM:inst1|memory[76][8] ; 0 ; 6 ; +; - RAM:inst1|memory[64][16] ; 0 ; 6 ; +; - RAM:inst1|memory[76][16] ; 0 ; 6 ; +; - RAM:inst1|memory[72][0] ; 0 ; 6 ; +; - RAM:inst1|memory[64][0] ; 0 ; 6 ; +; - RAM:inst1|memory[76][0] ; 0 ; 6 ; +; - RAM:inst1|memory[72][24] ; 0 ; 6 ; +; - RAM:inst1|memory[64][24] ; 0 ; 6 ; +; - RAM:inst1|memory[76][24] ; 0 ; 6 ; +; - RAM:inst1|memory[71][16] ; 0 ; 6 ; +; - RAM:inst1|memory[75][16] ; 0 ; 6 ; +; - RAM:inst1|memory[67][16] ; 0 ; 6 ; +; - RAM:inst1|memory[79][16] ; 0 ; 6 ; +; - RAM:inst1|memory[75][8] ; 0 ; 6 ; +; - RAM:inst1|memory[71][8] ; 0 ; 6 ; +; - RAM:inst1|memory[67][8] ; 0 ; 6 ; +; - RAM:inst1|memory[79][8] ; 0 ; 6 ; +; - RAM:inst1|memory[71][0] ; 0 ; 6 ; +; - RAM:inst1|memory[75][0] ; 0 ; 6 ; +; - RAM:inst1|memory[67][0] ; 0 ; 6 ; +; - RAM:inst1|memory[79][0] ; 0 ; 6 ; +; - RAM:inst1|memory[71][24] ; 0 ; 6 ; +; - RAM:inst1|memory[67][24] ; 0 ; 6 ; +; - RAM:inst1|memory[79][24] ; 0 ; 6 ; +; - RAM:inst1|memory[5][16] ; 0 ; 6 ; +; - RAM:inst1|memory[9][16] ; 0 ; 6 ; +; - RAM:inst1|memory[1][16] ; 0 ; 6 ; +; - RAM:inst1|memory[13][16] ; 0 ; 6 ; +; - RAM:inst1|memory[9][8] ; 0 ; 6 ; +; - RAM:inst1|memory[5][8] ; 0 ; 6 ; +; - RAM:inst1|memory[1][8] ; 0 ; 6 ; +; - RAM:inst1|memory[13][8] ; 0 ; 6 ; +; - RAM:inst1|memory[1][0] ; 0 ; 6 ; +; - RAM:inst1|memory[13][0] ; 0 ; 6 ; +; - RAM:inst1|memory[1][24] ; 0 ; 6 ; +; - RAM:inst1|memory[13][24] ; 0 ; 6 ; +; - RAM:inst1|memory[2][8] ; 0 ; 6 ; +; - RAM:inst1|memory[14][8] ; 0 ; 6 ; +; - RAM:inst1|memory[10][16] ; 0 ; 6 ; +; - RAM:inst1|memory[2][16] ; 0 ; 6 ; +; - RAM:inst1|memory[14][16] ; 0 ; 6 ; +; - RAM:inst1|memory[6][0] ; 0 ; 6 ; +; - RAM:inst1|memory[2][0] ; 0 ; 6 ; +; - RAM:inst1|memory[14][0] ; 0 ; 6 ; +; - RAM:inst1|memory[2][24] ; 0 ; 6 ; +; - RAM:inst1|memory[14][24] ; 0 ; 6 ; +; - RAM:inst1|memory[4][8] ; 0 ; 6 ; +; - RAM:inst1|memory[0][8] ; 0 ; 6 ; +; - RAM:inst1|memory[12][8] ; 0 ; 6 ; +; - RAM:inst1|memory[8][16] ; 0 ; 6 ; +; - RAM:inst1|memory[0][16] ; 0 ; 6 ; +; - RAM:inst1|memory[12][16] ; 0 ; 6 ; +; - RAM:inst1|memory[4][0] ; 0 ; 6 ; +; - RAM:inst1|memory[8][0] ; 0 ; 6 ; +; - RAM:inst1|memory[0][0] ; 0 ; 6 ; +; - RAM:inst1|memory[12][0] ; 0 ; 6 ; +; - RAM:inst1|memory[8][24] ; 0 ; 6 ; +; - RAM:inst1|memory[0][24] ; 0 ; 6 ; +; - RAM:inst1|memory[12][24] ; 0 ; 6 ; +; - RAM:inst1|memory[7][16] ; 0 ; 6 ; +; - RAM:inst1|memory[11][16] ; 0 ; 6 ; +; - RAM:inst1|memory[3][16] ; 0 ; 6 ; +; - RAM:inst1|memory[15][16] ; 0 ; 6 ; +; - RAM:inst1|memory[7][8] ; 0 ; 6 ; +; - RAM:inst1|memory[3][8] ; 0 ; 6 ; +; - RAM:inst1|memory[15][8] ; 0 ; 6 ; +; - RAM:inst1|memory[7][0] ; 0 ; 6 ; +; - RAM:inst1|memory[11][0] ; 0 ; 6 ; +; - RAM:inst1|memory[3][0] ; 0 ; 6 ; +; - RAM:inst1|memory[15][0] ; 0 ; 6 ; +; - RAM:inst1|memory[11][24] ; 0 ; 6 ; +; - RAM:inst1|memory[7][24] ; 0 ; 6 ; +; - RAM:inst1|memory[3][24] ; 0 ; 6 ; +; - RAM:inst1|memory[15][24] ; 0 ; 6 ; +; - RAM:inst1|memory[198][0] ; 0 ; 6 ; +; - RAM:inst1|memory[198][24] ; 0 ; 6 ; +; - RAM:inst1|memory[202][0] ; 0 ; 6 ; +; - RAM:inst1|memory[202][24] ; 0 ; 6 ; +; - RAM:inst1|memory[194][8] ; 0 ; 6 ; +; - RAM:inst1|memory[194][16] ; 0 ; 6 ; +; - RAM:inst1|memory[194][0] ; 0 ; 6 ; +; - RAM:inst1|memory[194][24] ; 0 ; 6 ; +; - RAM:inst1|memory[206][16] ; 0 ; 6 ; +; - RAM:inst1|memory[206][8] ; 0 ; 6 ; +; - RAM:inst1|memory[206][0] ; 0 ; 6 ; +; - RAM:inst1|memory[206][24] ; 0 ; 6 ; +; - RAM:inst1|memory[197][16] ; 0 ; 6 ; +; - RAM:inst1|memory[193][16] ; 0 ; 6 ; +; - RAM:inst1|memory[205][16] ; 0 ; 6 ; +; - RAM:inst1|memory[201][8] ; 0 ; 6 ; +; - RAM:inst1|memory[193][8] ; 0 ; 6 ; +; - RAM:inst1|memory[205][8] ; 0 ; 6 ; +; - RAM:inst1|memory[197][0] ; 0 ; 6 ; +; - RAM:inst1|memory[193][0] ; 0 ; 6 ; +; - RAM:inst1|memory[205][0] ; 0 ; 6 ; +; - RAM:inst1|memory[201][24] ; 0 ; 6 ; +; - RAM:inst1|memory[193][24] ; 0 ; 6 ; +; - RAM:inst1|memory[205][24] ; 0 ; 6 ; +; - RAM:inst1|memory[200][8] ; 0 ; 6 ; +; - RAM:inst1|memory[192][8] ; 0 ; 6 ; +; - RAM:inst1|memory[204][8] ; 0 ; 6 ; +; - RAM:inst1|memory[192][16] ; 0 ; 6 ; +; - RAM:inst1|memory[204][16] ; 0 ; 6 ; +; - RAM:inst1|memory[196][0] ; 0 ; 6 ; +; - RAM:inst1|memory[192][0] ; 0 ; 6 ; +; - RAM:inst1|memory[204][0] ; 0 ; 6 ; +; - RAM:inst1|memory[200][24] ; 0 ; 6 ; +; - RAM:inst1|memory[192][24] ; 0 ; 6 ; +; - RAM:inst1|memory[204][24] ; 0 ; 6 ; +; - RAM:inst1|memory[203][8] ; 0 ; 6 ; +; - RAM:inst1|memory[195][8] ; 0 ; 6 ; +; - RAM:inst1|memory[207][8] ; 0 ; 6 ; +; - RAM:inst1|memory[203][16] ; 0 ; 6 ; +; - RAM:inst1|memory[195][16] ; 0 ; 6 ; +; - RAM:inst1|memory[207][16] ; 0 ; 6 ; +; - RAM:inst1|memory[199][0] ; 0 ; 6 ; +; - RAM:inst1|memory[195][0] ; 0 ; 6 ; +; - RAM:inst1|memory[207][0] ; 0 ; 6 ; +; - RAM:inst1|memory[199][24] ; 0 ; 6 ; +; - RAM:inst1|memory[195][24] ; 0 ; 6 ; +; - RAM:inst1|memory[207][24] ; 0 ; 6 ; +; - RAM:inst1|memory[185][8] ; 0 ; 6 ; +; - RAM:inst1|memory[121][8] ; 0 ; 6 ; +; - RAM:inst1|memory[57][8] ; 0 ; 6 ; +; - RAM:inst1|memory[249][8] ; 0 ; 6 ; +; - RAM:inst1|memory[117][8] ; 0 ; 6 ; +; - RAM:inst1|memory[181][8] ; 0 ; 6 ; +; - RAM:inst1|memory[53][8] ; 0 ; 6 ; +; - RAM:inst1|memory[245][8] ; 0 ; 6 ; +; - RAM:inst1|memory[49][8] ; 0 ; 6 ; +; - RAM:inst1|memory[241][8] ; 0 ; 6 ; +; - RAM:inst1|memory[125][8] ; 0 ; 6 ; +; - RAM:inst1|memory[61][8] ; 0 ; 6 ; +; - RAM:inst1|memory[253][8] ; 0 ; 6 ; +; - RAM:inst1|memory[181][16] ; 0 ; 6 ; +; - RAM:inst1|memory[185][16] ; 0 ; 6 ; +; - RAM:inst1|memory[177][16] ; 0 ; 6 ; +; - RAM:inst1|memory[189][16] ; 0 ; 6 ; +; - RAM:inst1|memory[121][16] ; 0 ; 6 ; +; - RAM:inst1|memory[117][16] ; 0 ; 6 ; +; - RAM:inst1|memory[113][16] ; 0 ; 6 ; +; - RAM:inst1|memory[125][16] ; 0 ; 6 ; +; - RAM:inst1|memory[49][16] ; 0 ; 6 ; +; - RAM:inst1|memory[61][16] ; 0 ; 6 ; +; - RAM:inst1|memory[249][16] ; 0 ; 6 ; +; - RAM:inst1|memory[245][16] ; 0 ; 6 ; +; - RAM:inst1|memory[241][16] ; 0 ; 6 ; +; - RAM:inst1|memory[253][16] ; 0 ; 6 ; +; - RAM:inst1|memory[117][0] ; 0 ; 6 ; +; - RAM:inst1|memory[181][0] ; 0 ; 6 ; +; - RAM:inst1|memory[53][0] ; 0 ; 6 ; +; - RAM:inst1|memory[245][0] ; 0 ; 6 ; +; - RAM:inst1|memory[121][0] ; 0 ; 6 ; +; - RAM:inst1|memory[57][0] ; 0 ; 6 ; +; - RAM:inst1|memory[249][0] ; 0 ; 6 ; +; - RAM:inst1|memory[49][0] ; 0 ; 6 ; +; - RAM:inst1|memory[241][0] ; 0 ; 6 ; +; - RAM:inst1|memory[61][0] ; 0 ; 6 ; +; - RAM:inst1|memory[253][0] ; 0 ; 6 ; +; - RAM:inst1|memory[181][24] ; 0 ; 6 ; +; - RAM:inst1|memory[117][24] ; 0 ; 6 ; +; - RAM:inst1|memory[53][24] ; 0 ; 6 ; +; - RAM:inst1|memory[245][24] ; 0 ; 6 ; +; - RAM:inst1|memory[121][24] ; 0 ; 6 ; +; - RAM:inst1|memory[185][24] ; 0 ; 6 ; +; - RAM:inst1|memory[57][24] ; 0 ; 6 ; +; - RAM:inst1|memory[249][24] ; 0 ; 6 ; +; - RAM:inst1|memory[113][24] ; 0 ; 6 ; +; - RAM:inst1|memory[49][24] ; 0 ; 6 ; +; - RAM:inst1|memory[241][24] ; 0 ; 6 ; +; - RAM:inst1|memory[125][24] ; 0 ; 6 ; +; - RAM:inst1|memory[61][24] ; 0 ; 6 ; +; - RAM:inst1|memory[253][24] ; 0 ; 6 ; +; - RAM:inst1|memory[182][8] ; 0 ; 6 ; +; - RAM:inst1|memory[182][0] ; 0 ; 6 ; +; - RAM:inst1|memory[182][24] ; 0 ; 6 ; +; - RAM:inst1|memory[118][8] ; 0 ; 6 ; +; - RAM:inst1|memory[118][0] ; 0 ; 6 ; +; - RAM:inst1|memory[118][24] ; 0 ; 6 ; +; - RAM:inst1|memory[54][16] ; 0 ; 6 ; +; - RAM:inst1|memory[54][0] ; 0 ; 6 ; +; - RAM:inst1|memory[54][24] ; 0 ; 6 ; +; - RAM:inst1|memory[246][16] ; 0 ; 6 ; +; - RAM:inst1|memory[246][8] ; 0 ; 6 ; +; - RAM:inst1|memory[246][0] ; 0 ; 6 ; +; - RAM:inst1|memory[246][24] ; 0 ; 6 ; +; - RAM:inst1|memory[122][8] ; 0 ; 6 ; +; - RAM:inst1|memory[122][0] ; 0 ; 6 ; +; - RAM:inst1|memory[122][24] ; 0 ; 6 ; +; - RAM:inst1|memory[186][16] ; 0 ; 6 ; +; - RAM:inst1|memory[186][0] ; 0 ; 6 ; +; - RAM:inst1|memory[186][24] ; 0 ; 6 ; +; - RAM:inst1|memory[58][16] ; 0 ; 6 ; +; - RAM:inst1|memory[58][0] ; 0 ; 6 ; +; - RAM:inst1|memory[58][24] ; 0 ; 6 ; +; - RAM:inst1|memory[250][0] ; 0 ; 6 ; +; - RAM:inst1|memory[250][24] ; 0 ; 6 ; +; - RAM:inst1|memory[114][16] ; 0 ; 6 ; +; - RAM:inst1|memory[114][8] ; 0 ; 6 ; +; - RAM:inst1|memory[114][0] ; 0 ; 6 ; +; - RAM:inst1|memory[114][24] ; 0 ; 6 ; +; - RAM:inst1|memory[178][8] ; 0 ; 6 ; +; - RAM:inst1|memory[178][16] ; 0 ; 6 ; +; - RAM:inst1|memory[178][0] ; 0 ; 6 ; +; - RAM:inst1|memory[178][24] ; 0 ; 6 ; +; - RAM:inst1|memory[50][8] ; 0 ; 6 ; +; - RAM:inst1|memory[50][0] ; 0 ; 6 ; +; - RAM:inst1|memory[50][24] ; 0 ; 6 ; +; - RAM:inst1|memory[242][16] ; 0 ; 6 ; +; - RAM:inst1|memory[242][0] ; 0 ; 6 ; +; - RAM:inst1|memory[242][24] ; 0 ; 6 ; +; - RAM:inst1|memory[190][16] ; 0 ; 6 ; +; - RAM:inst1|memory[190][0] ; 0 ; 6 ; +; - RAM:inst1|memory[190][24] ; 0 ; 6 ; +; - RAM:inst1|memory[126][16] ; 0 ; 6 ; +; - RAM:inst1|memory[126][0] ; 0 ; 6 ; +; - RAM:inst1|memory[126][24] ; 0 ; 6 ; +; - RAM:inst1|memory[62][16] ; 0 ; 6 ; +; - RAM:inst1|memory[62][0] ; 0 ; 6 ; +; - RAM:inst1|memory[62][24] ; 0 ; 6 ; +; - RAM:inst1|memory[254][8] ; 0 ; 6 ; +; - RAM:inst1|memory[254][0] ; 0 ; 6 ; +; - RAM:inst1|memory[254][24] ; 0 ; 6 ; +; - RAM:inst1|memory[116][16] ; 0 ; 6 ; +; - RAM:inst1|memory[52][16] ; 0 ; 6 ; +; - RAM:inst1|memory[244][16] ; 0 ; 6 ; +; - RAM:inst1|memory[56][16] ; 0 ; 6 ; +; - RAM:inst1|memory[248][16] ; 0 ; 6 ; +; - RAM:inst1|memory[112][16] ; 0 ; 6 ; +; - RAM:inst1|memory[48][16] ; 0 ; 6 ; +; - RAM:inst1|memory[240][16] ; 0 ; 6 ; +; - RAM:inst1|memory[124][16] ; 0 ; 6 ; +; - RAM:inst1|memory[188][16] ; 0 ; 6 ; +; - RAM:inst1|memory[60][16] ; 0 ; 6 ; +; - RAM:inst1|memory[252][16] ; 0 ; 6 ; +; - RAM:inst1|memory[56][8] ; 0 ; 6 ; +; - RAM:inst1|memory[248][8] ; 0 ; 6 ; +; - RAM:inst1|memory[116][8] ; 0 ; 6 ; +; - RAM:inst1|memory[180][8] ; 0 ; 6 ; +; - RAM:inst1|memory[52][8] ; 0 ; 6 ; +; - RAM:inst1|memory[244][8] ; 0 ; 6 ; +; - RAM:inst1|memory[176][8] ; 0 ; 6 ; +; - RAM:inst1|memory[48][8] ; 0 ; 6 ; +; - RAM:inst1|memory[240][8] ; 0 ; 6 ; +; - RAM:inst1|memory[124][8] ; 0 ; 6 ; +; - RAM:inst1|memory[60][8] ; 0 ; 6 ; +; - RAM:inst1|memory[252][8] ; 0 ; 6 ; +; - RAM:inst1|memory[52][0] ; 0 ; 6 ; +; - RAM:inst1|memory[244][0] ; 0 ; 6 ; +; - RAM:inst1|memory[184][0] ; 0 ; 6 ; +; - RAM:inst1|memory[56][0] ; 0 ; 6 ; +; - RAM:inst1|memory[248][0] ; 0 ; 6 ; +; - RAM:inst1|memory[176][0] ; 0 ; 6 ; +; - RAM:inst1|memory[48][0] ; 0 ; 6 ; +; - RAM:inst1|memory[240][0] ; 0 ; 6 ; +; - RAM:inst1|memory[124][0] ; 0 ; 6 ; +; - RAM:inst1|memory[60][0] ; 0 ; 6 ; +; - RAM:inst1|memory[252][0] ; 0 ; 6 ; +; - RAM:inst1|memory[184][24] ; 0 ; 6 ; +; - RAM:inst1|memory[56][24] ; 0 ; 6 ; +; - RAM:inst1|memory[248][24] ; 0 ; 6 ; +; - RAM:inst1|memory[116][24] ; 0 ; 6 ; +; - RAM:inst1|memory[52][24] ; 0 ; 6 ; +; - RAM:inst1|memory[244][24] ; 0 ; 6 ; +; - RAM:inst1|memory[176][24] ; 0 ; 6 ; +; - RAM:inst1|memory[48][24] ; 0 ; 6 ; +; - RAM:inst1|memory[240][24] ; 0 ; 6 ; +; - RAM:inst1|memory[124][24] ; 0 ; 6 ; +; - RAM:inst1|memory[188][24] ; 0 ; 6 ; +; - RAM:inst1|memory[60][24] ; 0 ; 6 ; +; - RAM:inst1|memory[252][24] ; 0 ; 6 ; +; - RAM:inst1|memory[119][16] ; 0 ; 6 ; +; - RAM:inst1|memory[123][16] ; 0 ; 6 ; +; - RAM:inst1|memory[115][16] ; 0 ; 6 ; +; - RAM:inst1|memory[127][16] ; 0 ; 6 ; +; - RAM:inst1|memory[179][16] ; 0 ; 6 ; +; - RAM:inst1|memory[191][16] ; 0 ; 6 ; +; - RAM:inst1|memory[59][16] ; 0 ; 6 ; +; - RAM:inst1|memory[55][16] ; 0 ; 6 ; +; - RAM:inst1|memory[51][16] ; 0 ; 6 ; +; - RAM:inst1|memory[63][16] ; 0 ; 6 ; +; - RAM:inst1|memory[243][16] ; 0 ; 6 ; +; - RAM:inst1|memory[255][16] ; 0 ; 6 ; +; - RAM:inst1|memory[187][8] ; 0 ; 6 ; +; - RAM:inst1|memory[183][8] ; 0 ; 6 ; +; - RAM:inst1|memory[179][8] ; 0 ; 6 ; +; - RAM:inst1|memory[191][8] ; 0 ; 6 ; +; - RAM:inst1|memory[119][8] ; 0 ; 6 ; +; - RAM:inst1|memory[123][8] ; 0 ; 6 ; +; - RAM:inst1|memory[115][8] ; 0 ; 6 ; +; - RAM:inst1|memory[127][8] ; 0 ; 6 ; +; - RAM:inst1|memory[59][8] ; 0 ; 6 ; +; - RAM:inst1|memory[51][8] ; 0 ; 6 ; +; - RAM:inst1|memory[63][8] ; 0 ; 6 ; +; - RAM:inst1|memory[247][8] ; 0 ; 6 ; +; - RAM:inst1|memory[243][8] ; 0 ; 6 ; +; - RAM:inst1|memory[255][8] ; 0 ; 6 ; +; - RAM:inst1|memory[119][0] ; 0 ; 6 ; +; - RAM:inst1|memory[123][0] ; 0 ; 6 ; +; - RAM:inst1|memory[115][0] ; 0 ; 6 ; +; - RAM:inst1|memory[127][0] ; 0 ; 6 ; +; - RAM:inst1|memory[187][0] ; 0 ; 6 ; +; - RAM:inst1|memory[183][0] ; 0 ; 6 ; +; - RAM:inst1|memory[179][0] ; 0 ; 6 ; +; - RAM:inst1|memory[191][0] ; 0 ; 6 ; +; - RAM:inst1|memory[51][0] ; 0 ; 6 ; +; - RAM:inst1|memory[63][0] ; 0 ; 6 ; +; - RAM:inst1|memory[247][0] ; 0 ; 6 ; +; - RAM:inst1|memory[251][0] ; 0 ; 6 ; +; - RAM:inst1|memory[243][0] ; 0 ; 6 ; +; - RAM:inst1|memory[255][0] ; 0 ; 6 ; +; - RAM:inst1|memory[187][24] ; 0 ; 6 ; +; - RAM:inst1|memory[59][24] ; 0 ; 6 ; +; - RAM:inst1|memory[251][24] ; 0 ; 6 ; +; - RAM:inst1|memory[119][24] ; 0 ; 6 ; +; - RAM:inst1|memory[183][24] ; 0 ; 6 ; +; - RAM:inst1|memory[55][24] ; 0 ; 6 ; +; - RAM:inst1|memory[247][24] ; 0 ; 6 ; +; - RAM:inst1|memory[179][24] ; 0 ; 6 ; +; - RAM:inst1|memory[51][24] ; 0 ; 6 ; +; - RAM:inst1|memory[243][24] ; 0 ; 6 ; +; - RAM:inst1|memory[127][24] ; 0 ; 6 ; +; - RAM:inst1|memory[191][24] ; 0 ; 6 ; +; - RAM:inst1|memory[63][24] ; 0 ; 6 ; +; - RAM:inst1|memory[255][24] ; 0 ; 6 ; +; - RAM:inst1|memory[101][24]~feeder ; 0 ; 6 ; +; - RAM:inst1|memory[229][24]~feeder ; 0 ; 6 ; +; - RAM:inst1|memory[148][8]~feeder ; 0 ; 6 ; +; - RAM:inst1|memory[120][0]~feeder ; 0 ; 6 ; +; - RAM:inst1|memory[36][8]~feeder ; 0 ; 6 ; +; - RAM:inst1|memory[229][16]~feeder ; 0 ; 6 ; +; - RAM:inst1|memory[229][0]~feeder ; 0 ; 6 ; +; - RAM:inst1|memory[35][8]~feeder ; 0 ; 6 ; +; - RAM:inst1|memory[23][8]~feeder ; 0 ; 6 ; +; - RAM:inst1|memory[55][8]~feeder ; 0 ; 6 ; +; - RAM:inst1|memory[39][8]~feeder ; 0 ; 6 ; +; - RAM:inst1|memory[149][8]~feeder ; 0 ; 6 ; +; - RAM:inst1|memory[116][0]~feeder ; 0 ; 6 ; +; - RAM:inst1|memory[175][16]~feeder ; 0 ; 6 ; +; - RAM:inst1|memory[132][16]~feeder ; 0 ; 6 ; +; - RAM:inst1|memory[196][16]~feeder ; 0 ; 6 ; +; - RAM:inst1|memory[213][8]~feeder ; 0 ; 6 ; +; - RAM:inst1|memory[68][16]~feeder ; 0 ; 6 ; +; - RAM:inst1|memory[183][16]~feeder ; 0 ; 6 ; +; - RAM:inst1|memory[230][0]~feeder ; 0 ; 6 ; +; - RAM:inst1|memory[148][24]~feeder ; 0 ; 6 ; +; - RAM:inst1|memory[70][16]~feeder ; 0 ; 6 ; +; - RAM:inst1|memory[118][16]~feeder ; 0 ; 6 ; +; - RAM:inst1|memory[6][24]~feeder ; 0 ; 6 ; +; - RAM:inst1|memory[86][16]~feeder ; 0 ; 6 ; +; - RAM:inst1|memory[86][24]~feeder ; 0 ; 6 ; +; - RAM:inst1|memory[158][8]~feeder ; 0 ; 6 ; +; - RAM:inst1|memory[190][8]~feeder ; 0 ; 6 ; +; - RAM:inst1|memory[242][8]~feeder ; 0 ; 6 ; +; - RAM:inst1|memory[92][0]~feeder ; 0 ; 6 ; +; - RAM:inst1|memory[98][16]~feeder ; 0 ; 6 ; +; - RAM:inst1|memory[222][8]~feeder ; 0 ; 6 ; +; - RAM:inst1|memory[156][24]~feeder ; 0 ; 6 ; +; - RAM:inst1|memory[159][24]~feeder ; 0 ; 6 ; +; - RAM:inst1|memory[120][16]~feeder ; 0 ; 6 ; +; - RAM:inst1|memory[158][0]~feeder ; 0 ; 6 ; +; - RAM:inst1|memory[94][0]~feeder ; 0 ; 6 ; +; - RAM:inst1|memory[74][24]~feeder ; 0 ; 6 ; +; - RAM:inst1|memory[50][16]~feeder ; 0 ; 6 ; +; - RAM:inst1|memory[18][16]~feeder ; 0 ; 6 ; +; - RAM:inst1|memory[10][0]~feeder ; 0 ; 6 ; +; - RAM:inst1|memory[58][8]~feeder ; 0 ; 6 ; +; - RAM:inst1|memory[115][24]~feeder ; 0 ; 6 ; +; - RAM:inst1|memory[59][0]~feeder ; 0 ; 6 ; +; - RAM:inst1|memory[149][16]~feeder ; 0 ; 6 ; +; - RAM:inst1|memory[209][16]~feeder ; 0 ; 6 ; +; - RAM:inst1|memory[82][0]~feeder ; 0 ; 6 ; +; - RAM:inst1|memory[82][8]~feeder ; 0 ; 6 ; +; - RAM:inst1|memory[215][0]~feeder ; 0 ; 6 ; +; - RAM:inst1|memory[91][0]~feeder ; 0 ; 6 ; +; - RAM:inst1|memory[146][16]~feeder ; 0 ; 6 ; +; - RAM:inst1|memory[144][8]~feeder ; 0 ; 6 ; +; - RAM:inst1|memory[94][16]~feeder ; 0 ; 6 ; +; - RAM:inst1|memory[254][16]~feeder ; 0 ; 6 ; +; - RAM:inst1|memory[62][8]~feeder ; 0 ; 6 ; +; - RAM:inst1|memory[4][16]~feeder ; 0 ; 6 ; +; - RAM:inst1|memory[6][8]~feeder ; 0 ; 6 ; +; - RAM:inst1|memory[150][0]~feeder ; 0 ; 6 ; +; - RAM:inst1|memory[6][16]~feeder ; 0 ; 6 ; +; - RAM:inst1|memory[54][8]~feeder ; 0 ; 6 ; +; - RAM:inst1|memory[150][8]~feeder ; 0 ; 6 ; +; - RAM:inst1|memory[150][24]~feeder ; 0 ; 6 ; +; - RAM:inst1|memory[23][0]~feeder ; 0 ; 6 ; +; - RAM:inst1|memory[55][0]~feeder ; 0 ; 6 ; +; - RAM:inst1|memory[151][24]~feeder ; 0 ; 6 ; +; - RAM:inst1|memory[135][24]~feeder ; 0 ; 6 ; +; - RAM:inst1|memory[68][8]~feeder ; 0 ; 6 ; +; - RAM:inst1|memory[69][8]~feeder ; 0 ; 6 ; +; - RAM:inst1|memory[197][8]~feeder ; 0 ; 6 ; +; - RAM:inst1|memory[103][8]~feeder ; 0 ; 6 ; +; - RAM:inst1|memory[68][24]~feeder ; 0 ; 6 ; +; - RAM:inst1|memory[135][8]~feeder ; 0 ; 6 ; +; - RAM:inst1|memory[37][8]~feeder ; 0 ; 6 ; +; - RAM:inst1|memory[165][8]~feeder ; 0 ; 6 ; +; - RAM:inst1|memory[215][8]~feeder ; 0 ; 6 ; +; - RAM:inst1|memory[199][8]~feeder ; 0 ; 6 ; +; - RAM:inst1|memory[167][8]~feeder ; 0 ; 6 ; +; - RAM:inst1|memory[196][8]~feeder ; 0 ; 6 ; +; - RAM:inst1|memory[164][8]~feeder ; 0 ; 6 ; +; - RAM:inst1|memory[180][0]~feeder ; 0 ; 6 ; +; - RAM:inst1|memory[132][24]~feeder ; 0 ; 6 ; +; - RAM:inst1|memory[180][24]~feeder ; 0 ; 6 ; +; - RAM:inst1|memory[86][8]~feeder ; 0 ; 6 ; +; - RAM:inst1|memory[86][0]~feeder ; 0 ; 6 ; +; - RAM:inst1|memory[196][24]~feeder ; 0 ; 6 ; +; - RAM:inst1|memory[41][16]~feeder ; 0 ; 6 ; +; - RAM:inst1|memory[198][8]~feeder ; 0 ; 6 ; +; - RAM:inst1|memory[199][16]~feeder ; 0 ; 6 ; +; - RAM:inst1|memory[80][24]~feeder ; 0 ; 6 ; +; - RAM:inst1|memory[84][24]~feeder ; 0 ; 6 ; +; - RAM:inst1|memory[108][16]~feeder ; 0 ; 6 ; +; - RAM:inst1|memory[44][16]~feeder ; 0 ; 6 ; +; - RAM:inst1|memory[126][8]~feeder ; 0 ; 6 ; +; - RAM:inst1|memory[70][8]~feeder ; 0 ; 6 ; +; - RAM:inst1|memory[70][0]~feeder ; 0 ; 6 ; +; - RAM:inst1|memory[102][8]~feeder ; 0 ; 6 ; +; - RAM:inst1|memory[198][16]~feeder ; 0 ; 6 ; +; - RAM:inst1|memory[230][24]~feeder ; 0 ; 6 ; +; - RAM:inst1|memory[180][16]~feeder ; 0 ; 6 ; +; - RAM:inst1|memory[158][16]~feeder ; 0 ; 6 ; +; - RAM:inst1|memory[238][0]~feeder ; 0 ; 6 ; +; - RAM:inst1|memory[238][24]~feeder ; 0 ; 6 ; +; - RAM:inst1|memory[134][16]~feeder ; 0 ; 6 ; +; - RAM:inst1|memory[182][16]~feeder ; 0 ; 6 ; +; - RAM:inst1|memory[4][24]~feeder ; 0 ; 6 ; +; - RAM:inst1|memory[238][8]~feeder ; 0 ; 6 ; +; - RAM:inst1|memory[188][8]~feeder ; 0 ; 6 ; +; - RAM:inst1|memory[99][8]~feeder ; 0 ; 6 ; +; - RAM:inst1|memory[47][8]~feeder ; 0 ; 6 ; +; - RAM:inst1|memory[177][8]~feeder ; 0 ; 6 ; +; - RAM:inst1|memory[177][24]~feeder ; 0 ; 6 ; +; - RAM:inst1|memory[83][24]~feeder ; 0 ; 6 ; +; - RAM:inst1|memory[111][16]~feeder ; 0 ; 6 ; +; - RAM:inst1|memory[112][24]~feeder ; 0 ; 6 ; +; - RAM:inst1|memory[27][8]~feeder ; 0 ; 6 ; +; - RAM:inst1|memory[27][0]~feeder ; 0 ; 6 ; +; - RAM:inst1|memory[68][0]~feeder ; 0 ; 6 ; +; - RAM:inst1|memory[96][16]~feeder ; 0 ; 6 ; +; - RAM:inst1|memory[72][16]~feeder ; 0 ; 6 ; +; - RAM:inst1|memory[188][0]~feeder ; 0 ; 6 ; +; - RAM:inst1|memory[203][0]~feeder ; 0 ; 6 ; +; - RAM:inst1|memory[247][16]~feeder ; 0 ; 6 ; +; - RAM:inst1|memory[233][24]~feeder ; 0 ; 6 ; +; - RAM:inst1|memory[125][0]~feeder ; 0 ; 6 ; +; - RAM:inst1|memory[237][24]~feeder ; 0 ; 6 ; +; - RAM:inst1|memory[69][24]~feeder ; 0 ; 6 ; +; - RAM:inst1|memory[197][24]~feeder ; 0 ; 6 ; +; - RAM:inst1|memory[189][0]~feeder ; 0 ; 6 ; +; - RAM:inst1|memory[189][24]~feeder ; 0 ; 6 ; +; - RAM:inst1|memory[113][8]~feeder ; 0 ; 6 ; +; - RAM:inst1|memory[112][0]~feeder ; 0 ; 6 ; +; - RAM:inst1|memory[161][16]~feeder ; 0 ; 6 ; +; - RAM:inst1|memory[37][16]~feeder ; 0 ; 6 ; +; - RAM:inst1|memory[5][0]~feeder ; 0 ; 6 ; +; - RAM:inst1|memory[133][0]~feeder ; 0 ; 6 ; +; - RAM:inst1|memory[112][8]~feeder ; 0 ; 6 ; +; - RAM:inst1|memory[45][16]~feeder ; 0 ; 6 ; +; - RAM:inst1|memory[53][16]~feeder ; 0 ; 6 ; +; - RAM:inst1|memory[189][8]~feeder ; 0 ; 6 ; +; - RAM:inst1|memory[113][0]~feeder ; 0 ; 6 ; +; - RAM:inst1|memory[201][0]~feeder ; 0 ; 6 ; +; - RAM:inst1|memory[176][16]~feeder ; 0 ; 6 ; +; - RAM:inst1|memory[177][0]~feeder ; 0 ; 6 ; +; - RAM:inst1|memory[73][0]~feeder ; 0 ; 6 ; +; - RAM:inst1|memory[110][16]~feeder ; 0 ; 6 ; +; - RAM:inst1|memory[93][0]~feeder ; 0 ; 6 ; +; - RAM:inst1|memory[9][24]~feeder ; 0 ; 6 ; +; - RAM:inst1|memory[120][24]~feeder ; 0 ; 6 ; +; - RAM:inst1|memory[185][0]~feeder ; 0 ; 6 ; +; - RAM:inst1|memory[137][0]~feeder ; 0 ; 6 ; +; - RAM:inst1|memory[25][8]~feeder ; 0 ; 6 ; +; - RAM:inst1|memory[57][16]~feeder ; 0 ; 6 ; +; - RAM:inst1|memory[9][0]~feeder ; 0 ; 6 ; +; - RAM:inst1|memory[105][8]~feeder ; 0 ; 6 ; +; - RAM:inst1|memory[73][8]~feeder ; 0 ; 6 ; +; - RAM:inst1|memory[201][16]~feeder ; 0 ; 6 ; +; - RAM:inst1|memory[137][16]~feeder ; 0 ; 6 ; +; - RAM:inst1|memory[11][8]~feeder ; 0 ; 6 ; +; - RAM:inst1|memory[171][16]~feeder ; 0 ; 6 ; +; - RAM:inst1|memory[40][16]~feeder ; 0 ; 6 ; +; - RAM:inst1|memory[203][24]~feeder ; 0 ; 6 ; +; - RAM:inst1|memory[233][16]~feeder ; 0 ; 6 ; +; - RAM:inst1|memory[104][16]~feeder ; 0 ; 6 ; +; - RAM:inst1|memory[75][24]~feeder ; 0 ; 6 ; +; - RAM:inst1|memory[217][8]~feeder ; 0 ; 6 ; +; - RAM:inst1|memory[187][16]~feeder ; 0 ; 6 ; +; - RAM:inst1|memory[152][8]~feeder ; 0 ; 6 ; +; - RAM:inst1|memory[136][16]~feeder ; 0 ; 6 ; +; - RAM:inst1|memory[136][0]~feeder ; 0 ; 6 ; +; - RAM:inst1|memory[5][24]~feeder ; 0 ; 6 ; +; - RAM:inst1|memory[120][8]~feeder ; 0 ; 6 ; +; - RAM:inst1|memory[104][8]~feeder ; 0 ; 6 ; +; - RAM:inst1|memory[171][8]~feeder ; 0 ; 6 ; +; - RAM:inst1|memory[87][0]~feeder ; 0 ; 6 ; +; - RAM:inst1|memory[81][0]~feeder ; 0 ; 6 ; +; - RAM:inst1|memory[200][0]~feeder ; 0 ; 6 ; +; - RAM:inst1|memory[251][16]~feeder ; 0 ; 6 ; +; - RAM:inst1|memory[200][16]~feeder ; 0 ; 6 ; +; - RAM:inst1|memory[152][24]~feeder ; 0 ; 6 ; +; - RAM:inst1|memory[184][8]~feeder ; 0 ; 6 ; +; - RAM:inst1|memory[123][24]~feeder ; 0 ; 6 ; +; - RAM:inst1|memory[138][8]~feeder ; 0 ; 6 ; +; - RAM:inst1|memory[26][24]~feeder ; 0 ; 6 ; +; - RAM:inst1|memory[149][24]~feeder ; 0 ; 6 ; +; - RAM:inst1|memory[157][24]~feeder ; 0 ; 6 ; +; - RAM:inst1|memory[85][16]~feeder ; 0 ; 6 ; +; - RAM:inst1|memory[166][16]~feeder ; 0 ; 6 ; +; - RAM:inst1|memory[139][24]~feeder ; 0 ; 6 ; +; - RAM:inst1|memory[139][16]~feeder ; 0 ; 6 ; +; - RAM:inst1|memory[145][24]~feeder ; 0 ; 6 ; +; - RAM:inst1|memory[155][24]~feeder ; 0 ; 6 ; +; - RAM:inst1|memory[217][24]~feeder ; 0 ; 6 ; +; - RAM:inst1|memory[88][24]~feeder ; 0 ; 6 ; +; - RAM:inst1|memory[92][24]~feeder ; 0 ; 6 ; +; - RAM:inst1|memory[88][8]~feeder ; 0 ; 6 ; +; - RAM:inst1|memory[184][16]~feeder ; 0 ; 6 ; +; - RAM:inst1|memory[81][16]~feeder ; 0 ; 6 ; +; - RAM:inst1|memory[89][16]~feeder ; 0 ; 6 ; +; - RAM:inst1|memory[42][8]~feeder ; 0 ; 6 ; +; - RAM:inst1|memory[10][8]~feeder ; 0 ; 6 ; +; - RAM:inst1|memory[8][8]~feeder ; 0 ; 6 ; +; - RAM:inst1|memory[17][16]~feeder ; 0 ; 6 ; +; - RAM:inst1|memory[221][16]~feeder ; 0 ; 6 ; +; - RAM:inst1|memory[80][0]~feeder ; 0 ; 6 ; +; - RAM:inst1|memory[88][0]~feeder ; 0 ; 6 ; +; - RAM:inst1|memory[138][24]~feeder ; 0 ; 6 ; +; - RAM:inst1|memory[10][24]~feeder ; 0 ; 6 ; +; - RAM:inst1|memory[251][8]~feeder ; 0 ; 6 ; +; - RAM:inst1|memory[250][8]~feeder ; 0 ; 6 ; +; - RAM:inst1|memory[80][8]~feeder ; 0 ; 6 ; +; - RAM:inst1|memory[25][16]~feeder ; 0 ; 6 ; +; - RAM:inst1|memory[138][0]~feeder ; 0 ; 6 ; +; - RAM:inst1|memory[154][16]~feeder ; 0 ; 6 ; +; - RAM:inst1|memory[250][16]~feeder ; 0 ; 6 ; +; - RAM:inst1|memory[122][16]~feeder ; 0 ; 6 ; +; - RAM:inst1|memory[74][8]~feeder ; 0 ; 6 ; +; - RAM:inst1|memory[106][8]~feeder ; 0 ; 6 ; +; - RAM:inst1|memory[186][8]~feeder ; 0 ; 6 ; +; - RAM:inst1|memory[218][16]~feeder ; 0 ; 6 ; +; - RAM:inst1|memory[218][8]~feeder ; 0 ; 6 ; +; - RAM:inst1|memory[90][16]~feeder ; 0 ; 6 ; +; - RAM:inst1|memory[74][16]~feeder ; 0 ; 6 ; +; - RAM:inst1|memory[202][16]~feeder ; 0 ; 6 ; +; - RAM:inst1|memory[106][16]~feeder ; 0 ; 6 ; +; - RAM:inst1|memory[217][16]~feeder ; 0 ; 6 ; +; - RAM:inst1|memory[202][8]~feeder ; 0 ; 6 ; +; - RAM:inst1|memory[90][0]~feeder ; 0 ; 6 ; +; - RAM:inst1|memory[74][0]~feeder ; 0 ; 6 ; +; FPGA_CLK ; ; ; +; nCE ; ; ; +; - RAM:inst1|ce0Prev ; 1 ; 6 ; +; - RAM:inst1|Selector76~0 ; 1 ; 6 ; +; - RAM:inst1|part0[0]~0 ; 1 ; 6 ; +; - RAM:inst1|Selector30~0 ; 1 ; 6 ; +; - RAM:inst1|Selector28~1 ; 1 ; 6 ; +; - RAM:inst1|Selector29~1 ; 1 ; 6 ; +; nWE ; ; ; +; - RAM:inst1|we0Prev ; 1 ; 6 ; +; - RAM:inst1|Selector28~0 ; 1 ; 6 ; +; Address[0] ; ; ; +; - RAM:inst1|addr0[0] ; 0 ; 6 ; +; Address[1] ; ; ; +; - RAM:inst1|addr0[1] ; 1 ; 6 ; +; Address[5] ; ; ; +; - RAM:inst1|addr0[5] ; 1 ; 6 ; +; Address[4] ; ; ; +; - RAM:inst1|addr0[4] ; 1 ; 6 ; +; nBL[1] ; ; ; +; - RAM:inst1|part0[1] ; 0 ; 6 ; +; nBL[0] ; ; ; +; - RAM:inst1|part0[0] ; 1 ; 6 ; +; Address[3] ; ; ; +; - RAM:inst1|addr0[3] ; 0 ; 6 ; +; Address[2] ; ; ; +; - RAM:inst1|addr0[2] ; 0 ; 6 ; +; Address[7] ; ; ; +; - RAM:inst1|addr0[7] ; 0 ; 6 ; +; Address[6] ; ; ; +; - RAM:inst1|addr0[6] ; 0 ; 6 ; +; nOE ; ; ; +; - RAM:inst1|Selector30~0 ; 0 ; 6 ; +; - RAM:inst1|oe0Prev ; 0 ; 6 ; +; - RAM:inst1|Selector28~1 ; 0 ; 6 ; +; - RAM:inst1|Selector29~1 ; 0 ; 6 ; ++-----------------------------------------+-------------------+---------+ -+-----------------------------------------------------------------------------------------------------------------------------------------------------------+ -; Control Signals ; -+-----------------------------+--------------------+---------+---------------+--------+----------------------+------------------+---------------------------+ -; Name ; Location ; Fan-Out ; Usage ; Global ; Global Resource Used ; Global Line Name ; Enable Signal Source Name ; -+-----------------------------+--------------------+---------+---------------+--------+----------------------+------------------+---------------------------+ -; FPGA_CLK ; PIN_31 ; 2 ; Clock ; no ; -- ; -- ; -- ; -; FPGA_CLK ; PIN_31 ; 81 ; Clock ; yes ; Global Clock ; GCLK2 ; -- ; -; LedBlink:inst2|LessThan0~10 ; LCCOMB_X26_Y28_N30 ; 24 ; Sync. clear ; no ; -- ; -- ; -- ; -; RAM:inst3|Selector3~2 ; LCCOMB_X27_Y29_N30 ; 2 ; Clock enable ; no ; -- ; -- ; -- ; -; RAM:inst3|Selector74~0 ; LCCOMB_X27_Y29_N26 ; 16 ; Clock enable ; no ; -- ; -- ; -- ; -; RAM:inst3|data0[0]~en ; FF_X35_Y33_N23 ; 1 ; Output enable ; no ; -- ; -- ; -- ; -; RAM:inst3|data0[1]~en ; FF_X35_Y33_N21 ; 1 ; Output enable ; no ; -- ; -- ; -- ; -; RAM:inst3|data0[2]~en ; FF_X35_Y33_N3 ; 1 ; Output enable ; no ; -- ; -- ; -- ; -; RAM:inst3|data0[3]~en ; FF_X35_Y33_N9 ; 1 ; Output enable ; no ; -- ; -- ; -- ; -; RAM:inst3|data0[4]~en ; FF_X35_Y33_N7 ; 1 ; Output enable ; no ; -- ; -- ; -- ; -; RAM:inst3|data0[5]~en ; FF_X35_Y33_N5 ; 1 ; Output enable ; no ; -- ; -- ; -- ; -; RAM:inst3|data0[6]~en ; FF_X35_Y33_N19 ; 1 ; Output enable ; no ; -- ; -- ; -- ; -; RAM:inst3|data0[7]~en ; FF_X35_Y33_N17 ; 1 ; Output enable ; no ; -- ; -- ; -- ; -; RAM:inst3|memory~48 ; LCCOMB_X27_Y29_N20 ; 3 ; Write enable ; no ; -- ; -- ; -- ; -; RAM:inst3|stateMM0.Waiting ; FF_X27_Y29_N9 ; 30 ; Clock enable ; no ; -- ; -- ; -- ; -+-----------------------------+--------------------+---------+---------------+--------+----------------------+------------------+---------------------------+ ++--------------------------------------------------------------------------------------------------------------------------------------------------------------+ +; Control Signals ; ++--------------------------------+--------------------+---------+---------------+--------+----------------------+------------------+---------------------------+ +; Name ; Location ; Fan-Out ; Usage ; Global ; Global Resource Used ; Global Line Name ; Enable Signal Source Name ; ++--------------------------------+--------------------+---------+---------------+--------+----------------------+------------------+---------------------------+ +; FPGA_CLK ; PIN_31 ; 2 ; Clock ; no ; -- ; -- ; -- ; +; FPGA_CLK ; PIN_31 ; 8249 ; Clock ; yes ; Global Clock ; GCLK2 ; -- ; +; LedBlink:inst2|LessThan0~7 ; LCCOMB_X52_Y23_N30 ; 25 ; Sync. clear ; no ; -- ; -- ; -- ; +; RAM:inst1|Selector29~0 ; LCCOMB_X20_Y30_N26 ; 2 ; Clock enable ; no ; -- ; -- ; -- ; +; RAM:inst1|Selector76~0 ; LCCOMB_X20_Y30_N6 ; 16 ; Clock enable ; no ; -- ; -- ; -- ; +; RAM:inst1|data0[0]~en ; FF_X17_Y26_N7 ; 1 ; Output enable ; no ; -- ; -- ; -- ; +; RAM:inst1|data0[1]~en ; FF_X17_Y26_N17 ; 1 ; Output enable ; no ; -- ; -- ; -- ; +; RAM:inst1|data0[2]~en ; FF_X17_Y26_N21 ; 1 ; Output enable ; no ; -- ; -- ; -- ; +; RAM:inst1|data0[3]~en ; FF_X37_Y25_N29 ; 1 ; Output enable ; no ; -- ; -- ; -- ; +; RAM:inst1|data0[4]~en ; FF_X17_Y26_N15 ; 1 ; Output enable ; no ; -- ; -- ; -- ; +; RAM:inst1|data0[5]~en ; FF_X17_Y26_N13 ; 1 ; Output enable ; no ; -- ; -- ; -- ; +; RAM:inst1|data0[6]~en ; FF_X37_Y25_N7 ; 1 ; Output enable ; no ; -- ; -- ; -- ; +; RAM:inst1|data0[7]~en ; FF_X37_Y25_N5 ; 1 ; Output enable ; no ; -- ; -- ; -- ; +; RAM:inst1|memory[0][15]~715 ; LCCOMB_X36_Y23_N4 ; 8 ; Clock enable ; no ; -- ; -- ; -- ; +; RAM:inst1|memory[0][23]~699 ; LCCOMB_X31_Y18_N28 ; 8 ; Clock enable ; no ; -- ; -- ; -- ; +; RAM:inst1|memory[0][31]~747 ; LCCOMB_X24_Y19_N26 ; 8 ; Clock enable ; no ; -- ; -- ; -- ; +; RAM:inst1|memory[0][7]~731 ; LCCOMB_X23_Y28_N18 ; 8 ; Clock enable ; no ; -- ; -- ; -- ; +; RAM:inst1|memory[100][15]~450 ; LCCOMB_X48_Y21_N0 ; 8 ; Clock enable ; no ; -- ; -- ; -- ; +; RAM:inst1|memory[100][23]~438 ; LCCOMB_X43_Y14_N12 ; 8 ; Clock enable ; no ; -- ; -- ; -- ; +; RAM:inst1|memory[100][31]~482 ; LCCOMB_X48_Y18_N8 ; 8 ; Clock enable ; no ; -- ; -- ; -- ; +; RAM:inst1|memory[100][7]~470 ; LCCOMB_X43_Y15_N2 ; 8 ; Clock enable ; no ; -- ; -- ; -- ; +; RAM:inst1|memory[101][15]~390 ; LCCOMB_X40_Y24_N2 ; 8 ; Clock enable ; no ; -- ; -- ; -- ; +; RAM:inst1|memory[101][23]~385 ; LCCOMB_X29_Y27_N0 ; 8 ; Clock enable ; no ; -- ; -- ; -- ; +; RAM:inst1|memory[101][31]~398 ; LCCOMB_X40_Y31_N2 ; 8 ; Clock enable ; no ; -- ; -- ; -- ; +; RAM:inst1|memory[101][7]~393 ; LCCOMB_X28_Y20_N12 ; 8 ; Clock enable ; no ; -- ; -- ; -- ; +; RAM:inst1|memory[102][15]~306 ; LCCOMB_X48_Y9_N22 ; 8 ; Clock enable ; no ; -- ; -- ; -- ; +; RAM:inst1|memory[102][23]~326 ; LCCOMB_X41_Y6_N12 ; 8 ; Clock enable ; no ; -- ; -- ; -- ; +; RAM:inst1|memory[102][31]~354 ; LCCOMB_X45_Y9_N10 ; 8 ; Clock enable ; no ; -- ; -- ; -- ; +; RAM:inst1|memory[102][7]~342 ; LCCOMB_X40_Y11_N20 ; 8 ; Clock enable ; no ; -- ; -- ; -- ; +; RAM:inst1|memory[103][15]~502 ; LCCOMB_X47_Y25_N16 ; 8 ; Clock enable ; no ; -- ; -- ; -- ; +; RAM:inst1|memory[103][23]~497 ; LCCOMB_X40_Y19_N0 ; 8 ; Clock enable ; no ; -- ; -- ; -- ; +; RAM:inst1|memory[103][31]~510 ; LCCOMB_X43_Y21_N26 ; 8 ; Clock enable ; no ; -- ; -- ; -- ; +; RAM:inst1|memory[103][7]~505 ; LCCOMB_X45_Y22_N2 ; 8 ; Clock enable ; no ; -- ; -- ; -- ; +; RAM:inst1|memory[104][15]~453 ; LCCOMB_X10_Y19_N2 ; 8 ; Clock enable ; no ; -- ; -- ; -- ; +; RAM:inst1|memory[104][23]~433 ; LCCOMB_X18_Y21_N2 ; 8 ; Clock enable ; no ; -- ; -- ; -- ; +; RAM:inst1|memory[104][31]~485 ; LCCOMB_X12_Y22_N16 ; 8 ; Clock enable ; no ; -- ; -- ; -- ; +; RAM:inst1|memory[104][7]~465 ; LCCOMB_X10_Y13_N12 ; 8 ; Clock enable ; no ; -- ; -- ; -- ; +; RAM:inst1|memory[105][15]~370 ; LCCOMB_X14_Y25_N0 ; 8 ; Clock enable ; no ; -- ; -- ; -- ; +; RAM:inst1|memory[105][23]~373 ; LCCOMB_X14_Y29_N12 ; 8 ; Clock enable ; no ; -- ; -- ; -- ; +; RAM:inst1|memory[105][31]~382 ; LCCOMB_X20_Y29_N26 ; 8 ; Clock enable ; no ; -- ; -- ; -- ; +; RAM:inst1|memory[105][7]~377 ; LCCOMB_X14_Y32_N24 ; 8 ; Clock enable ; no ; -- ; -- ; -- ; +; RAM:inst1|memory[106][15]~309 ; LCCOMB_X17_Y6_N6 ; 8 ; Clock enable ; no ; -- ; -- ; -- ; +; RAM:inst1|memory[106][23]~321 ; LCCOMB_X15_Y9_N4 ; 8 ; Clock enable ; no ; -- ; -- ; -- ; +; RAM:inst1|memory[106][31]~357 ; LCCOMB_X9_Y9_N12 ; 8 ; Clock enable ; no ; -- ; -- ; -- ; +; RAM:inst1|memory[106][7]~337 ; LCCOMB_X9_Y14_N24 ; 8 ; Clock enable ; no ; -- ; -- ; -- ; +; RAM:inst1|memory[107][15]~514 ; LCCOMB_X23_Y23_N4 ; 8 ; Clock enable ; no ; -- ; -- ; -- ; +; RAM:inst1|memory[107][23]~517 ; LCCOMB_X15_Y9_N2 ; 8 ; Clock enable ; no ; -- ; -- ; -- ; +; RAM:inst1|memory[107][31]~526 ; LCCOMB_X17_Y22_N8 ; 8 ; Clock enable ; no ; -- ; -- ; -- ; +; RAM:inst1|memory[107][7]~521 ; LCCOMB_X18_Y21_N30 ; 8 ; Clock enable ; no ; -- ; -- ; -- ; +; RAM:inst1|memory[108][15]~462 ; LCCOMB_X36_Y21_N16 ; 8 ; Clock enable ; no ; -- ; -- ; -- ; +; RAM:inst1|memory[108][23]~446 ; LCCOMB_X28_Y14_N12 ; 8 ; Clock enable ; no ; -- ; -- ; -- ; +; RAM:inst1|memory[108][31]~494 ; LCCOMB_X32_Y16_N12 ; 8 ; Clock enable ; no ; -- ; -- ; -- ; +; RAM:inst1|memory[108][7]~478 ; LCCOMB_X28_Y20_N30 ; 8 ; Clock enable ; no ; -- ; -- ; -- ; +; RAM:inst1|memory[109][15]~422 ; LCCOMB_X28_Y27_N16 ; 8 ; Clock enable ; no ; -- ; -- ; -- ; +; RAM:inst1|memory[109][23]~417 ; LCCOMB_X25_Y25_N0 ; 8 ; Clock enable ; no ; -- ; -- ; -- ; +; RAM:inst1|memory[109][31]~430 ; LCCOMB_X20_Y29_N0 ; 8 ; Clock enable ; no ; -- ; -- ; -- ; +; RAM:inst1|memory[109][7]~425 ; LCCOMB_X29_Y30_N22 ; 8 ; Clock enable ; no ; -- ; -- ; -- ; +; RAM:inst1|memory[10][15]~710 ; LCCOMB_X12_Y12_N20 ; 8 ; Clock enable ; no ; -- ; -- ; -- ; +; RAM:inst1|memory[10][23]~690 ; LCCOMB_X15_Y10_N14 ; 8 ; Clock enable ; no ; -- ; -- ; -- ; +; RAM:inst1|memory[10][31]~742 ; LCCOMB_X12_Y7_N18 ; 8 ; Clock enable ; no ; -- ; -- ; -- ; +; RAM:inst1|memory[10][7]~722 ; LCCOMB_X18_Y6_N0 ; 8 ; Clock enable ; no ; -- ; -- ; -- ; +; RAM:inst1|memory[110][15]~318 ; LCCOMB_X35_Y11_N12 ; 8 ; Clock enable ; no ; -- ; -- ; -- ; +; RAM:inst1|memory[110][23]~334 ; LCCOMB_X28_Y4_N24 ; 8 ; Clock enable ; no ; -- ; -- ; -- ; +; RAM:inst1|memory[110][31]~366 ; LCCOMB_X35_Y13_N26 ; 8 ; Clock enable ; no ; -- ; -- ; -- ; +; RAM:inst1|memory[110][7]~350 ; LCCOMB_X24_Y26_N8 ; 8 ; Clock enable ; no ; -- ; -- ; -- ; +; RAM:inst1|memory[111][15]~550 ; LCCOMB_X35_Y25_N18 ; 8 ; Clock enable ; no ; -- ; -- ; -- ; +; RAM:inst1|memory[111][23]~545 ; LCCOMB_X25_Y22_N12 ; 8 ; Clock enable ; no ; -- ; -- ; -- ; +; RAM:inst1|memory[111][31]~558 ; LCCOMB_X35_Y18_N6 ; 8 ; Clock enable ; no ; -- ; -- ; -- ; +; RAM:inst1|memory[111][7]~553 ; LCCOMB_X36_Y22_N0 ; 8 ; Clock enable ; no ; -- ; -- ; -- ; +; RAM:inst1|memory[112][15]~460 ; LCCOMB_X31_Y27_N6 ; 8 ; Clock enable ; no ; -- ; -- ; -- ; +; RAM:inst1|memory[112][23]~444 ; LCCOMB_X26_Y23_N20 ; 8 ; Clock enable ; no ; -- ; -- ; -- ; +; RAM:inst1|memory[112][31]~492 ; LCCOMB_X27_Y20_N2 ; 8 ; Clock enable ; no ; -- ; -- ; -- ; +; RAM:inst1|memory[112][7]~476 ; LCCOMB_X27_Y26_N2 ; 8 ; Clock enable ; no ; -- ; -- ; -- ; +; RAM:inst1|memory[113][15]~404 ; LCCOMB_X23_Y24_N0 ; 8 ; Clock enable ; no ; -- ; -- ; -- ; +; RAM:inst1|memory[113][23]~408 ; LCCOMB_X26_Y23_N18 ; 8 ; Clock enable ; no ; -- ; -- ; -- ; +; RAM:inst1|memory[113][31]~416 ; LCCOMB_X25_Y27_N30 ; 8 ; Clock enable ; no ; -- ; -- ; -- ; +; RAM:inst1|memory[113][7]~412 ; LCCOMB_X26_Y27_N24 ; 8 ; Clock enable ; no ; -- ; -- ; -- ; +; RAM:inst1|memory[114][15]~316 ; LCCOMB_X18_Y8_N22 ; 8 ; Clock enable ; no ; -- ; -- ; -- ; +; RAM:inst1|memory[114][23]~332 ; LCCOMB_X27_Y6_N12 ; 8 ; Clock enable ; no ; -- ; -- ; -- ; +; RAM:inst1|memory[114][31]~364 ; LCCOMB_X26_Y6_N14 ; 8 ; Clock enable ; no ; -- ; -- ; -- ; +; RAM:inst1|memory[114][7]~348 ; LCCOMB_X28_Y6_N18 ; 8 ; Clock enable ; no ; -- ; -- ; -- ; +; RAM:inst1|memory[115][15]~532 ; LCCOMB_X24_Y25_N18 ; 8 ; Clock enable ; no ; -- ; -- ; -- ; +; RAM:inst1|memory[115][23]~536 ; LCCOMB_X23_Y20_N22 ; 8 ; Clock enable ; no ; -- ; -- ; -- ; +; RAM:inst1|memory[115][31]~544 ; LCCOMB_X24_Y21_N24 ; 8 ; Clock enable ; no ; -- ; -- ; -- ; +; RAM:inst1|memory[115][7]~540 ; LCCOMB_X24_Y17_N12 ; 8 ; Clock enable ; no ; -- ; -- ; -- ; +; RAM:inst1|memory[116][15]~452 ; LCCOMB_X47_Y19_N2 ; 8 ; Clock enable ; no ; -- ; -- ; -- ; +; RAM:inst1|memory[116][23]~440 ; LCCOMB_X43_Y14_N8 ; 8 ; Clock enable ; no ; -- ; -- ; -- ; +; RAM:inst1|memory[116][31]~484 ; LCCOMB_X16_Y18_N24 ; 8 ; Clock enable ; no ; -- ; -- ; -- ; +; RAM:inst1|memory[116][7]~472 ; LCCOMB_X43_Y15_N6 ; 8 ; Clock enable ; no ; -- ; -- ; -- ; +; RAM:inst1|memory[117][15]~392 ; LCCOMB_X49_Y24_N24 ; 8 ; Clock enable ; no ; -- ; -- ; -- ; +; RAM:inst1|memory[117][23]~388 ; LCCOMB_X29_Y27_N18 ; 8 ; Clock enable ; no ; -- ; -- ; -- ; +; RAM:inst1|memory[117][31]~400 ; LCCOMB_X32_Y29_N26 ; 8 ; Clock enable ; no ; -- ; -- ; -- ; +; RAM:inst1|memory[117][7]~396 ; LCCOMB_X36_Y30_N2 ; 8 ; Clock enable ; no ; -- ; -- ; -- ; +; RAM:inst1|memory[118][15]~308 ; LCCOMB_X43_Y12_N0 ; 8 ; Clock enable ; no ; -- ; -- ; -- ; +; RAM:inst1|memory[118][23]~328 ; LCCOMB_X43_Y7_N26 ; 8 ; Clock enable ; no ; -- ; -- ; -- ; +; RAM:inst1|memory[118][31]~356 ; LCCOMB_X40_Y5_N28 ; 8 ; Clock enable ; no ; -- ; -- ; -- ; +; RAM:inst1|memory[118][7]~344 ; LCCOMB_X40_Y11_N18 ; 8 ; Clock enable ; no ; -- ; -- ; -- ; +; RAM:inst1|memory[119][15]~504 ; LCCOMB_X47_Y25_N8 ; 8 ; Clock enable ; no ; -- ; -- ; -- ; +; RAM:inst1|memory[119][23]~500 ; LCCOMB_X41_Y18_N16 ; 8 ; Clock enable ; no ; -- ; -- ; -- ; +; RAM:inst1|memory[119][31]~512 ; LCCOMB_X43_Y21_N0 ; 8 ; Clock enable ; no ; -- ; -- ; -- ; +; RAM:inst1|memory[119][7]~508 ; LCCOMB_X39_Y18_N14 ; 8 ; Clock enable ; no ; -- ; -- ; -- ; +; RAM:inst1|memory[11][15]~712 ; LCCOMB_X15_Y24_N30 ; 8 ; Clock enable ; no ; -- ; -- ; -- ; +; RAM:inst1|memory[11][23]~692 ; LCCOMB_X32_Y21_N2 ; 8 ; Clock enable ; no ; -- ; -- ; -- ; +; RAM:inst1|memory[11][31]~744 ; LCCOMB_X20_Y19_N20 ; 8 ; Clock enable ; no ; -- ; -- ; -- ; +; RAM:inst1|memory[11][7]~724 ; LCCOMB_X18_Y22_N2 ; 8 ; Clock enable ; no ; -- ; -- ; -- ; +; RAM:inst1|memory[120][15]~456 ; LCCOMB_X10_Y20_N6 ; 8 ; Clock enable ; no ; -- ; -- ; -- ; +; RAM:inst1|memory[120][23]~436 ; LCCOMB_X43_Y12_N30 ; 8 ; Clock enable ; no ; -- ; -- ; -- ; +; RAM:inst1|memory[120][31]~488 ; LCCOMB_X10_Y17_N2 ; 8 ; Clock enable ; no ; -- ; -- ; -- ; +; RAM:inst1|memory[120][7]~468 ; LCCOMB_X10_Y13_N10 ; 8 ; Clock enable ; no ; -- ; -- ; -- ; +; RAM:inst1|memory[121][15]~372 ; LCCOMB_X14_Y25_N12 ; 8 ; Clock enable ; no ; -- ; -- ; -- ; +; RAM:inst1|memory[121][23]~376 ; LCCOMB_X14_Y29_N26 ; 8 ; Clock enable ; no ; -- ; -- ; -- ; +; RAM:inst1|memory[121][31]~384 ; LCCOMB_X20_Y29_N12 ; 8 ; Clock enable ; no ; -- ; -- ; -- ; +; RAM:inst1|memory[121][7]~380 ; LCCOMB_X21_Y27_N30 ; 8 ; Clock enable ; no ; -- ; -- ; -- ; +; RAM:inst1|memory[122][15]~312 ; LCCOMB_X11_Y5_N2 ; 8 ; Clock enable ; no ; -- ; -- ; -- ; +; RAM:inst1|memory[122][23]~324 ; LCCOMB_X16_Y5_N12 ; 8 ; Clock enable ; no ; -- ; -- ; -- ; +; RAM:inst1|memory[122][31]~360 ; LCCOMB_X12_Y7_N2 ; 8 ; Clock enable ; no ; -- ; -- ; -- ; +; RAM:inst1|memory[122][7]~340 ; LCCOMB_X14_Y5_N22 ; 8 ; Clock enable ; no ; -- ; -- ; -- ; +; RAM:inst1|memory[123][15]~516 ; LCCOMB_X23_Y23_N12 ; 8 ; Clock enable ; no ; -- ; -- ; -- ; +; RAM:inst1|memory[123][23]~520 ; LCCOMB_X15_Y20_N30 ; 8 ; Clock enable ; no ; -- ; -- ; -- ; +; RAM:inst1|memory[123][31]~528 ; LCCOMB_X17_Y22_N20 ; 8 ; Clock enable ; no ; -- ; -- ; -- ; +; RAM:inst1|memory[123][7]~524 ; LCCOMB_X15_Y22_N14 ; 8 ; Clock enable ; no ; -- ; -- ; -- ; +; RAM:inst1|memory[124][15]~464 ; LCCOMB_X36_Y21_N0 ; 8 ; Clock enable ; no ; -- ; -- ; -- ; +; RAM:inst1|memory[124][23]~448 ; LCCOMB_X28_Y14_N2 ; 8 ; Clock enable ; no ; -- ; -- ; -- ; +; RAM:inst1|memory[124][31]~496 ; LCCOMB_X27_Y12_N14 ; 8 ; Clock enable ; no ; -- ; -- ; -- ; +; RAM:inst1|memory[124][7]~480 ; LCCOMB_X30_Y16_N28 ; 8 ; Clock enable ; no ; -- ; -- ; -- ; +; RAM:inst1|memory[125][15]~424 ; LCCOMB_X28_Y27_N2 ; 8 ; Clock enable ; no ; -- ; -- ; -- ; +; RAM:inst1|memory[125][23]~420 ; LCCOMB_X21_Y30_N28 ; 8 ; Clock enable ; no ; -- ; -- ; -- ; +; RAM:inst1|memory[125][31]~432 ; LCCOMB_X21_Y30_N18 ; 8 ; Clock enable ; no ; -- ; -- ; -- ; +; RAM:inst1|memory[125][7]~428 ; LCCOMB_X17_Y28_N22 ; 8 ; Clock enable ; no ; -- ; -- ; -- ; +; RAM:inst1|memory[126][15]~320 ; LCCOMB_X32_Y9_N2 ; 8 ; Clock enable ; no ; -- ; -- ; -- ; +; RAM:inst1|memory[126][23]~336 ; LCCOMB_X36_Y11_N12 ; 8 ; Clock enable ; no ; -- ; -- ; -- ; +; RAM:inst1|memory[126][31]~368 ; LCCOMB_X28_Y5_N10 ; 8 ; Clock enable ; no ; -- ; -- ; -- ; +; RAM:inst1|memory[126][7]~352 ; LCCOMB_X24_Y26_N14 ; 8 ; Clock enable ; no ; -- ; -- ; -- ; +; RAM:inst1|memory[127][15]~552 ; LCCOMB_X30_Y21_N14 ; 8 ; Clock enable ; no ; -- ; -- ; -- ; +; RAM:inst1|memory[127][23]~548 ; LCCOMB_X25_Y18_N22 ; 8 ; Clock enable ; no ; -- ; -- ; -- ; +; RAM:inst1|memory[127][31]~560 ; LCCOMB_X27_Y19_N0 ; 8 ; Clock enable ; no ; -- ; -- ; -- ; +; RAM:inst1|memory[127][7]~556 ; LCCOMB_X21_Y18_N22 ; 8 ; Clock enable ; no ; -- ; -- ; -- ; +; RAM:inst1|memory[128][15]~187 ; LCCOMB_X46_Y23_N26 ; 8 ; Clock enable ; no ; -- ; -- ; -- ; +; RAM:inst1|memory[128][23]~167 ; LCCOMB_X34_Y15_N28 ; 8 ; Clock enable ; no ; -- ; -- ; -- ; +; RAM:inst1|memory[128][31]~223 ; LCCOMB_X34_Y15_N2 ; 8 ; Clock enable ; no ; -- ; -- ; -- ; +; RAM:inst1|memory[128][7]~207 ; LCCOMB_X29_Y17_N28 ; 8 ; Clock enable ; no ; -- ; -- ; -- ; +; RAM:inst1|memory[129][15]~185 ; LCCOMB_X46_Y22_N2 ; 8 ; Clock enable ; no ; -- ; -- ; -- ; +; RAM:inst1|memory[129][23]~165 ; LCCOMB_X12_Y25_N22 ; 8 ; Clock enable ; no ; -- ; -- ; -- ; +; RAM:inst1|memory[129][31]~215 ; LCCOMB_X21_Y25_N26 ; 8 ; Clock enable ; no ; -- ; -- ; -- ; +; RAM:inst1|memory[129][7]~205 ; LCCOMB_X23_Y32_N0 ; 8 ; Clock enable ; no ; -- ; -- ; -- ; +; RAM:inst1|memory[12][15]~719 ; LCCOMB_X36_Y21_N30 ; 8 ; Clock enable ; no ; -- ; -- ; -- ; +; RAM:inst1|memory[12][23]~703 ; LCCOMB_X27_Y15_N16 ; 8 ; Clock enable ; no ; -- ; -- ; -- ; +; RAM:inst1|memory[12][31]~751 ; LCCOMB_X23_Y15_N4 ; 8 ; Clock enable ; no ; -- ; -- ; -- ; +; RAM:inst1|memory[12][7]~735 ; LCCOMB_X34_Y23_N6 ; 8 ; Clock enable ; no ; -- ; -- ; -- ; +; RAM:inst1|memory[130][15]~186 ; LCCOMB_X18_Y8_N30 ; 8 ; Clock enable ; no ; -- ; -- ; -- ; +; RAM:inst1|memory[130][23]~166 ; LCCOMB_X39_Y11_N0 ; 8 ; Clock enable ; no ; -- ; -- ; -- ; +; RAM:inst1|memory[130][31]~219 ; LCCOMB_X41_Y7_N14 ; 8 ; Clock enable ; no ; -- ; -- ; -- ; +; RAM:inst1|memory[130][7]~206 ; LCCOMB_X39_Y11_N18 ; 8 ; Clock enable ; no ; -- ; -- ; -- ; +; RAM:inst1|memory[131][15]~188 ; LCCOMB_X46_Y22_N16 ; 8 ; Clock enable ; no ; -- ; -- ; -- ; +; RAM:inst1|memory[131][23]~168 ; LCCOMB_X34_Y11_N2 ; 8 ; Clock enable ; no ; -- ; -- ; -- ; +; RAM:inst1|memory[131][31]~227 ; LCCOMB_X24_Y21_N14 ; 8 ; Clock enable ; no ; -- ; -- ; -- ; +; RAM:inst1|memory[131][7]~208 ; LCCOMB_X24_Y17_N28 ; 8 ; Clock enable ; no ; -- ; -- ; -- ; +; RAM:inst1|memory[132][15]~90 ; LCCOMB_X47_Y21_N8 ; 8 ; Clock enable ; no ; -- ; -- ; -- ; +; RAM:inst1|memory[132][23]~111 ; LCCOMB_X43_Y14_N24 ; 8 ; Clock enable ; no ; -- ; -- ; -- ; +; RAM:inst1|memory[132][31]~147 ; LCCOMB_X45_Y15_N0 ; 8 ; Clock enable ; no ; -- ; -- ; -- ; +; RAM:inst1|memory[132][7]~131 ; LCCOMB_X43_Y15_N26 ; 8 ; Clock enable ; no ; -- ; -- ; -- ; +; RAM:inst1|memory[133][15]~85 ; LCCOMB_X40_Y24_N24 ; 8 ; Clock enable ; no ; -- ; -- ; -- ; +; RAM:inst1|memory[133][23]~109 ; LCCOMB_X27_Y28_N14 ; 8 ; Clock enable ; no ; -- ; -- ; -- ; +; RAM:inst1|memory[133][31]~139 ; LCCOMB_X31_Y10_N26 ; 8 ; Clock enable ; no ; -- ; -- ; -- ; +; RAM:inst1|memory[133][7]~130 ; LCCOMB_X35_Y32_N14 ; 8 ; Clock enable ; no ; -- ; -- ; -- ; +; RAM:inst1|memory[134][15]~80 ; LCCOMB_X18_Y27_N30 ; 8 ; Clock enable ; no ; -- ; -- ; -- ; +; RAM:inst1|memory[134][23]~110 ; LCCOMB_X47_Y7_N8 ; 8 ; Clock enable ; no ; -- ; -- ; -- ; +; RAM:inst1|memory[134][31]~143 ; LCCOMB_X44_Y6_N30 ; 8 ; Clock enable ; no ; -- ; -- ; -- ; +; RAM:inst1|memory[134][7]~129 ; LCCOMB_X46_Y7_N22 ; 8 ; Clock enable ; no ; -- ; -- ; -- ; +; RAM:inst1|memory[135][15]~95 ; LCCOMB_X47_Y25_N4 ; 8 ; Clock enable ; no ; -- ; -- ; -- ; +; RAM:inst1|memory[135][23]~112 ; LCCOMB_X37_Y11_N0 ; 8 ; Clock enable ; no ; -- ; -- ; -- ; +; RAM:inst1|memory[135][31]~151 ; LCCOMB_X41_Y17_N16 ; 8 ; Clock enable ; no ; -- ; -- ; -- ; +; RAM:inst1|memory[135][7]~132 ; LCCOMB_X46_Y17_N2 ; 8 ; Clock enable ; no ; -- ; -- ; -- ; +; RAM:inst1|memory[136][15]~33 ; LCCOMB_X10_Y19_N16 ; 8 ; Clock enable ; no ; -- ; -- ; -- ; +; RAM:inst1|memory[136][23]~14 ; LCCOMB_X16_Y17_N16 ; 8 ; Clock enable ; no ; -- ; -- ; -- ; +; RAM:inst1|memory[136][31]~71 ; LCCOMB_X12_Y11_N10 ; 8 ; Clock enable ; no ; -- ; -- ; -- ; +; RAM:inst1|memory[136][7]~54 ; LCCOMB_X10_Y13_N4 ; 8 ; Clock enable ; no ; -- ; -- ; -- ; +; RAM:inst1|memory[137][15]~28 ; LCCOMB_X26_Y25_N30 ; 8 ; Clock enable ; no ; -- ; -- ; -- ; +; RAM:inst1|memory[137][23]~12 ; LCCOMB_X18_Y8_N24 ; 8 ; Clock enable ; no ; -- ; -- ; -- ; +; RAM:inst1|memory[137][31]~63 ; LCCOMB_X20_Y29_N8 ; 8 ; Clock enable ; no ; -- ; -- ; -- ; +; RAM:inst1|memory[137][7]~53 ; LCCOMB_X11_Y26_N0 ; 8 ; Clock enable ; no ; -- ; -- ; -- ; +; RAM:inst1|memory[138][15]~23 ; LCCOMB_X18_Y8_N18 ; 8 ; Clock enable ; no ; -- ; -- ; -- ; +; RAM:inst1|memory[138][23]~13 ; LCCOMB_X15_Y9_N26 ; 8 ; Clock enable ; no ; -- ; -- ; -- ; +; RAM:inst1|memory[138][31]~67 ; LCCOMB_X12_Y11_N8 ; 8 ; Clock enable ; no ; -- ; -- ; -- ; +; RAM:inst1|memory[138][7]~52 ; LCCOMB_X16_Y7_N14 ; 8 ; Clock enable ; no ; -- ; -- ; -- ; +; RAM:inst1|memory[139][15]~38 ; LCCOMB_X16_Y13_N12 ; 8 ; Clock enable ; no ; -- ; -- ; -- ; +; RAM:inst1|memory[139][23]~15 ; LCCOMB_X15_Y9_N12 ; 8 ; Clock enable ; no ; -- ; -- ; -- ; +; RAM:inst1|memory[139][31]~75 ; LCCOMB_X20_Y19_N24 ; 8 ; Clock enable ; no ; -- ; -- ; -- ; +; RAM:inst1|memory[139][7]~55 ; LCCOMB_X15_Y16_N14 ; 8 ; Clock enable ; no ; -- ; -- ; -- ; +; RAM:inst1|memory[13][15]~718 ; LCCOMB_X32_Y32_N14 ; 8 ; Clock enable ; no ; -- ; -- ; -- ; +; RAM:inst1|memory[13][23]~702 ; LCCOMB_X19_Y31_N26 ; 8 ; Clock enable ; no ; -- ; -- ; -- ; +; RAM:inst1|memory[13][31]~750 ; LCCOMB_X19_Y26_N22 ; 8 ; Clock enable ; no ; -- ; -- ; -- ; +; RAM:inst1|memory[13][7]~734 ; LCCOMB_X26_Y32_N18 ; 8 ; Clock enable ; no ; -- ; -- ; -- ; +; RAM:inst1|memory[140][15]~242 ; LCCOMB_X41_Y22_N18 ; 8 ; Clock enable ; no ; -- ; -- ; -- ; +; RAM:inst1|memory[140][23]~263 ; LCCOMB_X31_Y12_N12 ; 8 ; Clock enable ; no ; -- ; -- ; -- ; +; RAM:inst1|memory[140][31]~299 ; LCCOMB_X32_Y16_N0 ; 8 ; Clock enable ; no ; -- ; -- ; -- ; +; RAM:inst1|memory[140][7]~283 ; LCCOMB_X35_Y16_N16 ; 8 ; Clock enable ; no ; -- ; -- ; -- ; +; RAM:inst1|memory[141][15]~237 ; LCCOMB_X26_Y33_N22 ; 8 ; Clock enable ; no ; -- ; -- ; -- ; +; RAM:inst1|memory[141][23]~261 ; LCCOMB_X23_Y31_N18 ; 8 ; Clock enable ; no ; -- ; -- ; -- ; +; RAM:inst1|memory[141][31]~291 ; LCCOMB_X20_Y25_N26 ; 8 ; Clock enable ; no ; -- ; -- ; -- ; +; RAM:inst1|memory[141][7]~282 ; LCCOMB_X26_Y32_N0 ; 8 ; Clock enable ; no ; -- ; -- ; -- ; +; RAM:inst1|memory[142][15]~232 ; LCCOMB_X39_Y5_N18 ; 8 ; Clock enable ; no ; -- ; -- ; -- ; +; RAM:inst1|memory[142][23]~262 ; LCCOMB_X35_Y7_N0 ; 8 ; Clock enable ; no ; -- ; -- ; -- ; +; RAM:inst1|memory[142][31]~295 ; LCCOMB_X31_Y10_N2 ; 8 ; Clock enable ; no ; -- ; -- ; -- ; +; RAM:inst1|memory[142][7]~281 ; LCCOMB_X24_Y26_N6 ; 8 ; Clock enable ; no ; -- ; -- ; -- ; +; RAM:inst1|memory[143][15]~247 ; LCCOMB_X38_Y16_N2 ; 8 ; Clock enable ; no ; -- ; -- ; -- ; +; RAM:inst1|memory[143][23]~264 ; LCCOMB_X37_Y11_N26 ; 8 ; Clock enable ; no ; -- ; -- ; -- ; +; RAM:inst1|memory[143][31]~303 ; LCCOMB_X35_Y18_N10 ; 8 ; Clock enable ; no ; -- ; -- ; -- ; +; RAM:inst1|memory[143][7]~284 ; LCCOMB_X37_Y16_N2 ; 8 ; Clock enable ; no ; -- ; -- ; -- ; +; RAM:inst1|memory[144][15]~178 ; LCCOMB_X21_Y9_N8 ; 8 ; Clock enable ; no ; -- ; -- ; -- ; +; RAM:inst1|memory[144][23]~163 ; LCCOMB_X28_Y13_N4 ; 8 ; Clock enable ; no ; -- ; -- ; -- ; +; RAM:inst1|memory[144][31]~221 ; LCCOMB_X24_Y15_N2 ; 8 ; Clock enable ; no ; -- ; -- ; -- ; +; RAM:inst1|memory[144][7]~203 ; LCCOMB_X16_Y11_N30 ; 8 ; Clock enable ; no ; -- ; -- ; -- ; +; RAM:inst1|memory[145][15]~176 ; LCCOMB_X30_Y27_N2 ; 8 ; Clock enable ; no ; -- ; -- ; -- ; +; RAM:inst1|memory[145][23]~162 ; LCCOMB_X28_Y13_N18 ; 8 ; Clock enable ; no ; -- ; -- ; -- ; +; RAM:inst1|memory[145][31]~214 ; LCCOMB_X18_Y15_N24 ; 8 ; Clock enable ; no ; -- ; -- ; -- ; +; RAM:inst1|memory[145][7]~202 ; LCCOMB_X18_Y15_N26 ; 8 ; Clock enable ; no ; -- ; -- ; -- ; +; RAM:inst1|memory[146][15]~174 ; LCCOMB_X21_Y4_N2 ; 8 ; Clock enable ; no ; -- ; -- ; -- ; +; RAM:inst1|memory[146][23]~161 ; LCCOMB_X20_Y11_N24 ; 8 ; Clock enable ; no ; -- ; -- ; -- ; +; RAM:inst1|memory[146][31]~217 ; LCCOMB_X23_Y4_N20 ; 8 ; Clock enable ; no ; -- ; -- ; -- ; +; RAM:inst1|memory[146][7]~201 ; LCCOMB_X20_Y4_N12 ; 8 ; Clock enable ; no ; -- ; -- ; -- ; +; RAM:inst1|memory[147][15]~180 ; LCCOMB_X21_Y16_N14 ; 8 ; Clock enable ; no ; -- ; -- ; -- ; +; RAM:inst1|memory[147][23]~164 ; LCCOMB_X20_Y11_N22 ; 8 ; Clock enable ; no ; -- ; -- ; -- ; +; RAM:inst1|memory[147][31]~226 ; LCCOMB_X37_Y25_N16 ; 8 ; Clock enable ; no ; -- ; -- ; -- ; +; RAM:inst1|memory[147][7]~204 ; LCCOMB_X27_Y13_N0 ; 8 ; Clock enable ; no ; -- ; -- ; -- ; +; RAM:inst1|memory[148][15]~89 ; LCCOMB_X47_Y20_N12 ; 8 ; Clock enable ; no ; -- ; -- ; -- ; +; RAM:inst1|memory[148][23]~107 ; LCCOMB_X45_Y11_N24 ; 8 ; Clock enable ; no ; -- ; -- ; -- ; +; RAM:inst1|memory[148][31]~145 ; LCCOMB_X16_Y18_N12 ; 8 ; Clock enable ; no ; -- ; -- ; -- ; +; RAM:inst1|memory[148][7]~122 ; LCCOMB_X43_Y15_N20 ; 8 ; Clock enable ; no ; -- ; -- ; -- ; +; RAM:inst1|memory[149][15]~83 ; LCCOMB_X41_Y20_N2 ; 8 ; Clock enable ; no ; -- ; -- ; -- ; +; RAM:inst1|memory[149][23]~106 ; LCCOMB_X27_Y14_N18 ; 8 ; Clock enable ; no ; -- ; -- ; -- ; +; RAM:inst1|memory[149][31]~138 ; LCCOMB_X31_Y10_N12 ; 8 ; Clock enable ; no ; -- ; -- ; -- ; +; RAM:inst1|memory[149][7]~118 ; LCCOMB_X32_Y26_N20 ; 8 ; Clock enable ; no ; -- ; -- ; -- ; +; RAM:inst1|memory[14][15]~717 ; LCCOMB_X34_Y4_N20 ; 8 ; Clock enable ; no ; -- ; -- ; -- ; +; RAM:inst1|memory[14][23]~701 ; LCCOMB_X31_Y4_N28 ; 8 ; Clock enable ; no ; -- ; -- ; -- ; +; RAM:inst1|memory[14][31]~749 ; LCCOMB_X34_Y4_N26 ; 8 ; Clock enable ; no ; -- ; -- ; -- ; +; RAM:inst1|memory[14][7]~733 ; LCCOMB_X35_Y4_N30 ; 8 ; Clock enable ; no ; -- ; -- ; -- ; +; RAM:inst1|memory[150][15]~79 ; LCCOMB_X43_Y12_N20 ; 8 ; Clock enable ; no ; -- ; -- ; -- ; +; RAM:inst1|memory[150][23]~105 ; LCCOMB_X40_Y7_N16 ; 8 ; Clock enable ; no ; -- ; -- ; -- ; +; RAM:inst1|memory[150][31]~141 ; LCCOMB_X45_Y4_N2 ; 8 ; Clock enable ; no ; -- ; -- ; -- ; +; RAM:inst1|memory[150][7]~120 ; LCCOMB_X44_Y8_N20 ; 8 ; Clock enable ; no ; -- ; -- ; -- ; +; RAM:inst1|memory[151][15]~93 ; LCCOMB_X47_Y25_N30 ; 8 ; Clock enable ; no ; -- ; -- ; -- ; +; RAM:inst1|memory[151][23]~108 ; LCCOMB_X38_Y11_N0 ; 8 ; Clock enable ; no ; -- ; -- ; -- ; +; RAM:inst1|memory[151][31]~150 ; LCCOMB_X41_Y17_N2 ; 8 ; Clock enable ; no ; -- ; -- ; -- ; +; RAM:inst1|memory[151][7]~124 ; LCCOMB_X27_Y13_N22 ; 8 ; Clock enable ; no ; -- ; -- ; -- ; +; RAM:inst1|memory[152][15]~32 ; LCCOMB_X10_Y19_N6 ; 8 ; Clock enable ; no ; -- ; -- ; -- ; +; RAM:inst1|memory[152][23]~10 ; LCCOMB_X10_Y11_N2 ; 8 ; Clock enable ; no ; -- ; -- ; -- ; +; RAM:inst1|memory[152][31]~69 ; LCCOMB_X9_Y11_N18 ; 8 ; Clock enable ; no ; -- ; -- ; -- ; +; RAM:inst1|memory[152][7]~45 ; LCCOMB_X10_Y13_N20 ; 8 ; Clock enable ; no ; -- ; -- ; -- ; +; RAM:inst1|memory[153][15]~26 ; LCCOMB_X14_Y25_N4 ; 8 ; Clock enable ; no ; -- ; -- ; -- ; +; RAM:inst1|memory[153][23]~9 ; LCCOMB_X18_Y8_N26 ; 8 ; Clock enable ; no ; -- ; -- ; -- ; +; RAM:inst1|memory[153][31]~62 ; LCCOMB_X10_Y22_N4 ; 8 ; Clock enable ; no ; -- ; -- ; -- ; +; RAM:inst1|memory[153][7]~41 ; LCCOMB_X14_Y20_N12 ; 8 ; Clock enable ; no ; -- ; -- ; -- ; +; RAM:inst1|memory[154][15]~22 ; LCCOMB_X21_Y4_N4 ; 8 ; Clock enable ; no ; -- ; -- ; -- ; +; RAM:inst1|memory[154][23]~8 ; LCCOMB_X15_Y9_N30 ; 8 ; Clock enable ; no ; -- ; -- ; -- ; +; RAM:inst1|memory[154][31]~65 ; LCCOMB_X19_Y4_N2 ; 8 ; Clock enable ; no ; -- ; -- ; -- ; +; RAM:inst1|memory[154][7]~43 ; LCCOMB_X18_Y4_N18 ; 8 ; Clock enable ; no ; -- ; -- ; -- ; +; RAM:inst1|memory[155][15]~36 ; LCCOMB_X16_Y13_N26 ; 8 ; Clock enable ; no ; -- ; -- ; -- ; +; RAM:inst1|memory[155][23]~11 ; LCCOMB_X15_Y9_N0 ; 8 ; Clock enable ; no ; -- ; -- ; -- ; +; RAM:inst1|memory[155][31]~74 ; LCCOMB_X14_Y14_N22 ; 8 ; Clock enable ; no ; -- ; -- ; -- ; +; RAM:inst1|memory[155][7]~47 ; LCCOMB_X15_Y14_N6 ; 8 ; Clock enable ; no ; -- ; -- ; -- ; +; RAM:inst1|memory[156][15]~241 ; LCCOMB_X36_Y21_N20 ; 8 ; Clock enable ; no ; -- ; -- ; -- ; +; RAM:inst1|memory[156][23]~259 ; LCCOMB_X23_Y7_N20 ; 8 ; Clock enable ; no ; -- ; -- ; -- ; +; RAM:inst1|memory[156][31]~297 ; LCCOMB_X32_Y16_N4 ; 8 ; Clock enable ; no ; -- ; -- ; -- ; +; RAM:inst1|memory[156][7]~274 ; LCCOMB_X47_Y21_N22 ; 8 ; Clock enable ; no ; -- ; -- ; -- ; +; RAM:inst1|memory[157][15]~235 ; LCCOMB_X28_Y27_N4 ; 8 ; Clock enable ; no ; -- ; -- ; -- ; +; RAM:inst1|memory[157][23]~258 ; LCCOMB_X25_Y25_N30 ; 8 ; Clock enable ; no ; -- ; -- ; -- ; +; RAM:inst1|memory[157][31]~290 ; LCCOMB_X19_Y14_N10 ; 8 ; Clock enable ; no ; -- ; -- ; -- ; +; RAM:inst1|memory[157][7]~270 ; LCCOMB_X26_Y16_N28 ; 8 ; Clock enable ; no ; -- ; -- ; -- ; +; RAM:inst1|memory[158][15]~231 ; LCCOMB_X38_Y5_N0 ; 8 ; Clock enable ; no ; -- ; -- ; -- ; +; RAM:inst1|memory[158][23]~257 ; LCCOMB_X32_Y7_N2 ; 8 ; Clock enable ; no ; -- ; -- ; -- ; +; RAM:inst1|memory[158][31]~293 ; LCCOMB_X31_Y10_N14 ; 8 ; Clock enable ; no ; -- ; -- ; -- ; +; RAM:inst1|memory[158][7]~272 ; LCCOMB_X41_Y15_N30 ; 8 ; Clock enable ; no ; -- ; -- ; -- ; +; RAM:inst1|memory[159][15]~245 ; LCCOMB_X43_Y12_N10 ; 8 ; Clock enable ; no ; -- ; -- ; -- ; +; RAM:inst1|memory[159][23]~260 ; LCCOMB_X35_Y18_N22 ; 8 ; Clock enable ; no ; -- ; -- ; -- ; +; RAM:inst1|memory[159][31]~302 ; LCCOMB_X35_Y18_N4 ; 8 ; Clock enable ; no ; -- ; -- ; -- ; +; RAM:inst1|memory[159][7]~276 ; LCCOMB_X26_Y16_N10 ; 8 ; Clock enable ; no ; -- ; -- ; -- ; +; RAM:inst1|memory[15][15]~720 ; LCCOMB_X36_Y24_N28 ; 8 ; Clock enable ; no ; -- ; -- ; -- ; +; RAM:inst1|memory[15][23]~704 ; LCCOMB_X31_Y4_N30 ; 8 ; Clock enable ; no ; -- ; -- ; -- ; +; RAM:inst1|memory[15][31]~752 ; LCCOMB_X31_Y14_N26 ; 8 ; Clock enable ; no ; -- ; -- ; -- ; +; RAM:inst1|memory[15][7]~736 ; LCCOMB_X29_Y24_N20 ; 8 ; Clock enable ; no ; -- ; -- ; -- ; +; RAM:inst1|memory[160][15]~183 ; LCCOMB_X47_Y28_N10 ; 8 ; Clock enable ; no ; -- ; -- ; -- ; +; RAM:inst1|memory[160][23]~158 ; LCCOMB_X35_Y29_N28 ; 8 ; Clock enable ; no ; -- ; -- ; -- ; +; RAM:inst1|memory[160][31]~222 ; LCCOMB_X37_Y23_N30 ; 8 ; Clock enable ; no ; -- ; -- ; -- ; +; RAM:inst1|memory[160][7]~198 ; LCCOMB_X45_Y28_N10 ; 8 ; Clock enable ; no ; -- ; -- ; -- ; +; RAM:inst1|memory[161][15]~181 ; LCCOMB_X30_Y27_N12 ; 8 ; Clock enable ; no ; -- ; -- ; -- ; +; RAM:inst1|memory[161][23]~154 ; LCCOMB_X20_Y29_N18 ; 8 ; Clock enable ; no ; -- ; -- ; -- ; +; RAM:inst1|memory[161][31]~213 ; LCCOMB_X35_Y31_N22 ; 8 ; Clock enable ; no ; -- ; -- ; -- ; +; RAM:inst1|memory[161][7]~194 ; LCCOMB_X45_Y28_N0 ; 8 ; Clock enable ; no ; -- ; -- ; -- ; +; RAM:inst1|memory[162][15]~182 ; LCCOMB_X18_Y8_N28 ; 8 ; Clock enable ; no ; -- ; -- ; -- ; +; RAM:inst1|memory[162][23]~156 ; LCCOMB_X40_Y8_N20 ; 8 ; Clock enable ; no ; -- ; -- ; -- ; +; RAM:inst1|memory[162][31]~218 ; LCCOMB_X43_Y10_N0 ; 8 ; Clock enable ; no ; -- ; -- ; -- ; +; RAM:inst1|memory[162][7]~196 ; LCCOMB_X44_Y28_N20 ; 8 ; Clock enable ; no ; -- ; -- ; -- ; +; RAM:inst1|memory[163][15]~184 ; LCCOMB_X46_Y19_N22 ; 8 ; Clock enable ; no ; -- ; -- ; -- ; +; RAM:inst1|memory[163][23]~160 ; LCCOMB_X38_Y28_N26 ; 8 ; Clock enable ; no ; -- ; -- ; -- ; +; RAM:inst1|memory[163][31]~225 ; LCCOMB_X37_Y25_N18 ; 8 ; Clock enable ; no ; -- ; -- ; -- ; +; RAM:inst1|memory[163][7]~200 ; LCCOMB_X44_Y28_N14 ; 8 ; Clock enable ; no ; -- ; -- ; -- ; +; RAM:inst1|memory[164][15]~88 ; LCCOMB_X41_Y19_N0 ; 8 ; Clock enable ; no ; -- ; -- ; -- ; +; RAM:inst1|memory[164][23]~102 ; LCCOMB_X47_Y17_N8 ; 8 ; Clock enable ; no ; -- ; -- ; -- ; +; RAM:inst1|memory[164][31]~146 ; LCCOMB_X48_Y17_N14 ; 8 ; Clock enable ; no ; -- ; -- ; -- ; +; RAM:inst1|memory[164][7]~127 ; LCCOMB_X47_Y17_N18 ; 8 ; Clock enable ; no ; -- ; -- ; -- ; +; RAM:inst1|memory[165][15]~84 ; LCCOMB_X40_Y24_N26 ; 8 ; Clock enable ; no ; -- ; -- ; -- ; +; RAM:inst1|memory[165][23]~98 ; LCCOMB_X27_Y28_N4 ; 8 ; Clock enable ; no ; -- ; -- ; -- ; +; RAM:inst1|memory[165][31]~137 ; LCCOMB_X35_Y28_N2 ; 8 ; Clock enable ; no ; -- ; -- ; -- ; +; RAM:inst1|memory[165][7]~126 ; LCCOMB_X34_Y25_N14 ; 8 ; Clock enable ; no ; -- ; -- ; -- ; +; RAM:inst1|memory[166][15]~78 ; LCCOMB_X47_Y8_N0 ; 8 ; Clock enable ; no ; -- ; -- ; -- ; +; RAM:inst1|memory[166][23]~100 ; LCCOMB_X43_Y7_N10 ; 8 ; Clock enable ; no ; -- ; -- ; -- ; +; RAM:inst1|memory[166][31]~142 ; LCCOMB_X43_Y8_N12 ; 8 ; Clock enable ; no ; -- ; -- ; -- ; +; RAM:inst1|memory[166][7]~125 ; LCCOMB_X44_Y8_N26 ; 8 ; Clock enable ; no ; -- ; -- ; -- ; +; RAM:inst1|memory[167][15]~94 ; LCCOMB_X46_Y19_N0 ; 8 ; Clock enable ; no ; -- ; -- ; -- ; +; RAM:inst1|memory[167][23]~104 ; LCCOMB_X41_Y18_N8 ; 8 ; Clock enable ; no ; -- ; -- ; -- ; +; RAM:inst1|memory[167][31]~149 ; LCCOMB_X44_Y21_N12 ; 8 ; Clock enable ; no ; -- ; -- ; -- ; +; RAM:inst1|memory[167][7]~128 ; LCCOMB_X39_Y11_N14 ; 8 ; Clock enable ; no ; -- ; -- ; -- ; +; RAM:inst1|memory[168][15]~31 ; LCCOMB_X14_Y21_N0 ; 8 ; Clock enable ; no ; -- ; -- ; -- ; +; RAM:inst1|memory[168][23]~5 ; LCCOMB_X17_Y19_N26 ; 8 ; Clock enable ; no ; -- ; -- ; -- ; +; RAM:inst1|memory[168][31]~70 ; LCCOMB_X14_Y22_N22 ; 8 ; Clock enable ; no ; -- ; -- ; -- ; +; RAM:inst1|memory[168][7]~50 ; LCCOMB_X10_Y13_N22 ; 8 ; Clock enable ; no ; -- ; -- ; -- ; +; RAM:inst1|memory[169][15]~27 ; LCCOMB_X16_Y30_N12 ; 8 ; Clock enable ; no ; -- ; -- ; -- ; +; RAM:inst1|memory[169][23]~1 ; LCCOMB_X18_Y8_N12 ; 8 ; Clock enable ; no ; -- ; -- ; -- ; +; RAM:inst1|memory[169][31]~61 ; LCCOMB_X16_Y23_N22 ; 8 ; Clock enable ; no ; -- ; -- ; -- ; +; RAM:inst1|memory[169][7]~49 ; LCCOMB_X23_Y30_N0 ; 8 ; Clock enable ; no ; -- ; -- ; -- ; +; RAM:inst1|memory[16][15]~665 ; LCCOMB_X21_Y9_N30 ; 8 ; Clock enable ; no ; -- ; -- ; -- ; +; RAM:inst1|memory[16][23]~666 ; LCCOMB_X24_Y10_N22 ; 8 ; Clock enable ; no ; -- ; -- ; -- ; +; RAM:inst1|memory[16][31]~668 ; LCCOMB_X24_Y4_N26 ; 8 ; Clock enable ; no ; -- ; -- ; -- ; +; RAM:inst1|memory[16][7]~667 ; LCCOMB_X16_Y20_N22 ; 8 ; Clock enable ; no ; -- ; -- ; -- ; +; RAM:inst1|memory[170][15]~21 ; LCCOMB_X18_Y7_N18 ; 8 ; Clock enable ; no ; -- ; -- ; -- ; +; RAM:inst1|memory[170][23]~3 ; LCCOMB_X14_Y10_N4 ; 8 ; Clock enable ; no ; -- ; -- ; -- ; +; RAM:inst1|memory[170][31]~66 ; LCCOMB_X14_Y8_N22 ; 8 ; Clock enable ; no ; -- ; -- ; -- ; +; RAM:inst1|memory[170][7]~48 ; LCCOMB_X18_Y9_N2 ; 8 ; Clock enable ; no ; -- ; -- ; -- ; +; RAM:inst1|memory[171][15]~37 ; LCCOMB_X38_Y20_N20 ; 8 ; Clock enable ; no ; -- ; -- ; -- ; +; RAM:inst1|memory[171][23]~7 ; LCCOMB_X15_Y9_N24 ; 8 ; Clock enable ; no ; -- ; -- ; -- ; +; RAM:inst1|memory[171][31]~73 ; LCCOMB_X17_Y22_N18 ; 8 ; Clock enable ; no ; -- ; -- ; -- ; +; RAM:inst1|memory[171][7]~51 ; LCCOMB_X15_Y16_N4 ; 8 ; Clock enable ; no ; -- ; -- ; -- ; +; RAM:inst1|memory[172][15]~240 ; LCCOMB_X36_Y21_N18 ; 8 ; Clock enable ; no ; -- ; -- ; -- ; +; RAM:inst1|memory[172][23]~254 ; LCCOMB_X30_Y11_N6 ; 8 ; Clock enable ; no ; -- ; -- ; -- ; +; RAM:inst1|memory[172][31]~298 ; LCCOMB_X32_Y16_N18 ; 8 ; Clock enable ; no ; -- ; -- ; -- ; +; RAM:inst1|memory[172][7]~279 ; LCCOMB_X30_Y11_N4 ; 8 ; Clock enable ; no ; -- ; -- ; -- ; +; RAM:inst1|memory[173][15]~236 ; LCCOMB_X28_Y27_N30 ; 8 ; Clock enable ; no ; -- ; -- ; -- ; +; RAM:inst1|memory[173][23]~250 ; LCCOMB_X25_Y25_N28 ; 8 ; Clock enable ; no ; -- ; -- ; -- ; +; RAM:inst1|memory[173][31]~289 ; LCCOMB_X28_Y32_N4 ; 8 ; Clock enable ; no ; -- ; -- ; -- ; +; RAM:inst1|memory[173][7]~278 ; LCCOMB_X29_Y32_N26 ; 8 ; Clock enable ; no ; -- ; -- ; -- ; +; RAM:inst1|memory[174][15]~230 ; LCCOMB_X47_Y8_N6 ; 8 ; Clock enable ; no ; -- ; -- ; -- ; +; RAM:inst1|memory[174][23]~252 ; LCCOMB_X31_Y7_N16 ; 8 ; Clock enable ; no ; -- ; -- ; -- ; +; RAM:inst1|memory[174][31]~294 ; LCCOMB_X31_Y10_N20 ; 8 ; Clock enable ; no ; -- ; -- ; -- ; +; RAM:inst1|memory[174][7]~277 ; LCCOMB_X18_Y9_N24 ; 8 ; Clock enable ; no ; -- ; -- ; -- ; +; RAM:inst1|memory[175][15]~246 ; LCCOMB_X38_Y20_N30 ; 8 ; Clock enable ; no ; -- ; -- ; -- ; +; RAM:inst1|memory[175][23]~256 ; LCCOMB_X37_Y14_N0 ; 8 ; Clock enable ; no ; -- ; -- ; -- ; +; RAM:inst1|memory[175][31]~301 ; LCCOMB_X35_Y18_N14 ; 8 ; Clock enable ; no ; -- ; -- ; -- ; +; RAM:inst1|memory[175][7]~280 ; LCCOMB_X37_Y20_N28 ; 8 ; Clock enable ; no ; -- ; -- ; -- ; +; RAM:inst1|memory[176][15]~191 ; LCCOMB_X23_Y16_N0 ; 8 ; Clock enable ; no ; -- ; -- ; -- ; +; RAM:inst1|memory[176][23]~171 ; LCCOMB_X19_Y21_N16 ; 8 ; Clock enable ; no ; -- ; -- ; -- ; +; RAM:inst1|memory[176][31]~224 ; LCCOMB_X23_Y9_N24 ; 8 ; Clock enable ; no ; -- ; -- ; -- ; +; RAM:inst1|memory[176][7]~211 ; LCCOMB_X19_Y21_N26 ; 8 ; Clock enable ; no ; -- ; -- ; -- ; +; RAM:inst1|memory[177][15]~190 ; LCCOMB_X30_Y27_N22 ; 8 ; Clock enable ; no ; -- ; -- ; -- ; +; RAM:inst1|memory[177][23]~170 ; LCCOMB_X19_Y25_N2 ; 8 ; Clock enable ; no ; -- ; -- ; -- ; +; RAM:inst1|memory[177][31]~216 ; LCCOMB_X23_Y25_N2 ; 8 ; Clock enable ; no ; -- ; -- ; -- ; +; RAM:inst1|memory[177][7]~210 ; LCCOMB_X23_Y18_N18 ; 8 ; Clock enable ; no ; -- ; -- ; -- ; +; RAM:inst1|memory[178][15]~189 ; LCCOMB_X18_Y8_N8 ; 8 ; Clock enable ; no ; -- ; -- ; -- ; +; RAM:inst1|memory[178][23]~169 ; LCCOMB_X26_Y7_N4 ; 8 ; Clock enable ; no ; -- ; -- ; -- ; +; RAM:inst1|memory[178][31]~220 ; LCCOMB_X25_Y9_N8 ; 8 ; Clock enable ; no ; -- ; -- ; -- ; +; RAM:inst1|memory[178][7]~209 ; LCCOMB_X26_Y7_N14 ; 8 ; Clock enable ; no ; -- ; -- ; -- ; +; RAM:inst1|memory[179][15]~192 ; LCCOMB_X38_Y19_N0 ; 8 ; Clock enable ; no ; -- ; -- ; -- ; +; RAM:inst1|memory[179][23]~172 ; LCCOMB_X20_Y29_N16 ; 8 ; Clock enable ; no ; -- ; -- ; -- ; +; RAM:inst1|memory[179][31]~228 ; LCCOMB_X37_Y25_N30 ; 8 ; Clock enable ; no ; -- ; -- ; -- ; +; RAM:inst1|memory[179][7]~212 ; LCCOMB_X24_Y17_N6 ; 8 ; Clock enable ; no ; -- ; -- ; -- ; +; RAM:inst1|memory[17][15]~649 ; LCCOMB_X26_Y26_N12 ; 8 ; Clock enable ; no ; -- ; -- ; -- ; +; RAM:inst1|memory[17][23]~650 ; LCCOMB_X15_Y11_N18 ; 8 ; Clock enable ; no ; -- ; -- ; -- ; +; RAM:inst1|memory[17][31]~652 ; LCCOMB_X17_Y18_N6 ; 8 ; Clock enable ; no ; -- ; -- ; -- ; +; RAM:inst1|memory[17][7]~651 ; LCCOMB_X17_Y18_N24 ; 8 ; Clock enable ; no ; -- ; -- ; -- ; +; RAM:inst1|memory[180][15]~91 ; LCCOMB_X48_Y19_N26 ; 8 ; Clock enable ; no ; -- ; -- ; -- ; +; RAM:inst1|memory[180][23]~115 ; LCCOMB_X45_Y11_N30 ; 8 ; Clock enable ; no ; -- ; -- ; -- ; +; RAM:inst1|memory[180][31]~148 ; LCCOMB_X45_Y15_N22 ; 8 ; Clock enable ; no ; -- ; -- ; -- ; +; RAM:inst1|memory[180][7]~135 ; LCCOMB_X47_Y15_N20 ; 8 ; Clock enable ; no ; -- ; -- ; -- ; +; RAM:inst1|memory[181][15]~86 ; LCCOMB_X40_Y24_N18 ; 8 ; Clock enable ; no ; -- ; -- ; -- ; +; RAM:inst1|memory[181][23]~114 ; LCCOMB_X27_Y28_N24 ; 8 ; Clock enable ; no ; -- ; -- ; -- ; +; RAM:inst1|memory[181][31]~140 ; LCCOMB_X31_Y10_N4 ; 8 ; Clock enable ; no ; -- ; -- ; -- ; +; RAM:inst1|memory[181][7]~133 ; LCCOMB_X34_Y25_N28 ; 8 ; Clock enable ; no ; -- ; -- ; -- ; +; RAM:inst1|memory[182][15]~81 ; LCCOMB_X17_Y6_N22 ; 8 ; Clock enable ; no ; -- ; -- ; -- ; +; RAM:inst1|memory[182][23]~113 ; LCCOMB_X44_Y7_N12 ; 8 ; Clock enable ; no ; -- ; -- ; -- ; +; RAM:inst1|memory[182][31]~144 ; LCCOMB_X45_Y9_N0 ; 8 ; Clock enable ; no ; -- ; -- ; -- ; +; RAM:inst1|memory[182][7]~134 ; LCCOMB_X45_Y7_N2 ; 8 ; Clock enable ; no ; -- ; -- ; -- ; +; RAM:inst1|memory[183][15]~96 ; LCCOMB_X39_Y19_N10 ; 8 ; Clock enable ; no ; -- ; -- ; -- ; +; RAM:inst1|memory[183][23]~116 ; LCCOMB_X41_Y11_N2 ; 8 ; Clock enable ; no ; -- ; -- ; -- ; +; RAM:inst1|memory[183][31]~152 ; LCCOMB_X46_Y18_N4 ; 8 ; Clock enable ; no ; -- ; -- ; -- ; +; RAM:inst1|memory[183][7]~136 ; LCCOMB_X39_Y20_N18 ; 8 ; Clock enable ; no ; -- ; -- ; -- ; +; RAM:inst1|memory[184][15]~34 ; LCCOMB_X9_Y16_N22 ; 8 ; Clock enable ; no ; -- ; -- ; -- ; +; RAM:inst1|memory[184][23]~18 ; LCCOMB_X11_Y12_N0 ; 8 ; Clock enable ; no ; -- ; -- ; -- ; +; RAM:inst1|memory[184][31]~72 ; LCCOMB_X10_Y15_N28 ; 8 ; Clock enable ; no ; -- ; -- ; -- ; +; RAM:inst1|memory[184][7]~58 ; LCCOMB_X10_Y13_N6 ; 8 ; Clock enable ; no ; -- ; -- ; -- ; +; RAM:inst1|memory[185][15]~29 ; LCCOMB_X16_Y24_N4 ; 8 ; Clock enable ; no ; -- ; -- ; -- ; +; RAM:inst1|memory[185][23]~17 ; LCCOMB_X38_Y19_N2 ; 8 ; Clock enable ; no ; -- ; -- ; -- ; +; RAM:inst1|memory[185][31]~64 ; LCCOMB_X10_Y25_N30 ; 8 ; Clock enable ; no ; -- ; -- ; -- ; +; RAM:inst1|memory[185][7]~56 ; LCCOMB_X11_Y26_N22 ; 8 ; Clock enable ; no ; -- ; -- ; -- ; +; RAM:inst1|memory[186][15]~24 ; LCCOMB_X17_Y6_N4 ; 8 ; Clock enable ; no ; -- ; -- ; -- ; +; RAM:inst1|memory[186][23]~16 ; LCCOMB_X14_Y6_N20 ; 8 ; Clock enable ; no ; -- ; -- ; -- ; +; RAM:inst1|memory[186][31]~68 ; LCCOMB_X19_Y5_N0 ; 8 ; Clock enable ; no ; -- ; -- ; -- ; +; RAM:inst1|memory[186][7]~57 ; LCCOMB_X14_Y6_N14 ; 8 ; Clock enable ; no ; -- ; -- ; -- ; +; RAM:inst1|memory[187][15]~39 ; LCCOMB_X16_Y6_N18 ; 8 ; Clock enable ; no ; -- ; -- ; -- ; +; RAM:inst1|memory[187][23]~19 ; LCCOMB_X15_Y9_N18 ; 8 ; Clock enable ; no ; -- ; -- ; -- ; +; RAM:inst1|memory[187][31]~76 ; LCCOMB_X17_Y22_N0 ; 8 ; Clock enable ; no ; -- ; -- ; -- ; +; RAM:inst1|memory[187][7]~59 ; LCCOMB_X16_Y15_N22 ; 8 ; Clock enable ; no ; -- ; -- ; -- ; +; RAM:inst1|memory[188][15]~243 ; LCCOMB_X36_Y21_N10 ; 8 ; Clock enable ; no ; -- ; -- ; -- ; +; RAM:inst1|memory[188][23]~267 ; LCCOMB_X32_Y15_N4 ; 8 ; Clock enable ; no ; -- ; -- ; -- ; +; RAM:inst1|memory[188][31]~300 ; LCCOMB_X23_Y15_N24 ; 8 ; Clock enable ; no ; -- ; -- ; -- ; +; RAM:inst1|memory[188][7]~287 ; LCCOMB_X36_Y15_N22 ; 8 ; Clock enable ; no ; -- ; -- ; -- ; +; RAM:inst1|memory[189][15]~238 ; LCCOMB_X26_Y30_N26 ; 8 ; Clock enable ; no ; -- ; -- ; -- ; +; RAM:inst1|memory[189][23]~266 ; LCCOMB_X21_Y28_N18 ; 8 ; Clock enable ; no ; -- ; -- ; -- ; +; RAM:inst1|memory[189][31]~292 ; LCCOMB_X24_Y20_N6 ; 8 ; Clock enable ; no ; -- ; -- ; -- ; +; RAM:inst1|memory[189][7]~285 ; LCCOMB_X25_Y31_N26 ; 8 ; Clock enable ; no ; -- ; -- ; -- ; +; RAM:inst1|memory[18][15]~633 ; LCCOMB_X18_Y8_N4 ; 8 ; Clock enable ; no ; -- ; -- ; -- ; +; RAM:inst1|memory[18][23]~634 ; LCCOMB_X20_Y5_N0 ; 8 ; Clock enable ; no ; -- ; -- ; -- ; +; RAM:inst1|memory[18][31]~636 ; LCCOMB_X24_Y4_N8 ; 8 ; Clock enable ; no ; -- ; -- ; -- ; +; RAM:inst1|memory[18][7]~635 ; LCCOMB_X17_Y4_N28 ; 8 ; Clock enable ; no ; -- ; -- ; -- ; +; RAM:inst1|memory[190][15]~233 ; LCCOMB_X38_Y5_N18 ; 8 ; Clock enable ; no ; -- ; -- ; -- ; +; RAM:inst1|memory[190][23]~265 ; LCCOMB_X23_Y18_N20 ; 8 ; Clock enable ; no ; -- ; -- ; -- ; +; RAM:inst1|memory[190][31]~296 ; LCCOMB_X31_Y10_N8 ; 8 ; Clock enable ; no ; -- ; -- ; -- ; +; RAM:inst1|memory[190][7]~286 ; LCCOMB_X38_Y7_N26 ; 8 ; Clock enable ; no ; -- ; -- ; -- ; +; RAM:inst1|memory[191][15]~248 ; LCCOMB_X39_Y16_N14 ; 8 ; Clock enable ; no ; -- ; -- ; -- ; +; RAM:inst1|memory[191][23]~268 ; LCCOMB_X24_Y11_N28 ; 8 ; Clock enable ; no ; -- ; -- ; -- ; +; RAM:inst1|memory[191][31]~304 ; LCCOMB_X31_Y14_N0 ; 8 ; Clock enable ; no ; -- ; -- ; -- ; +; RAM:inst1|memory[191][7]~288 ; LCCOMB_X34_Y19_N2 ; 8 ; Clock enable ; no ; -- ; -- ; -- ; +; RAM:inst1|memory[192][15]~979 ; LCCOMB_X46_Y23_N24 ; 8 ; Clock enable ; no ; -- ; -- ; -- ; +; RAM:inst1|memory[192][23]~983 ; LCCOMB_X35_Y9_N6 ; 8 ; Clock enable ; no ; -- ; -- ; -- ; +; RAM:inst1|memory[192][31]~991 ; LCCOMB_X28_Y17_N28 ; 8 ; Clock enable ; no ; -- ; -- ; -- ; +; RAM:inst1|memory[192][7]~987 ; LCCOMB_X29_Y17_N2 ; 8 ; Clock enable ; no ; -- ; -- ; -- ; +; RAM:inst1|memory[193][15]~951 ; LCCOMB_X46_Y28_N26 ; 8 ; Clock enable ; no ; -- ; -- ; -- ; +; RAM:inst1|memory[193][23]~947 ; LCCOMB_X18_Y27_N14 ; 8 ; Clock enable ; no ; -- ; -- ; -- ; +; RAM:inst1|memory[193][31]~959 ; LCCOMB_X19_Y27_N14 ; 8 ; Clock enable ; no ; -- ; -- ; -- ; +; RAM:inst1|memory[193][7]~955 ; LCCOMB_X23_Y32_N22 ; 8 ; Clock enable ; no ; -- ; -- ; -- ; +; RAM:inst1|memory[194][15]~969 ; LCCOMB_X18_Y8_N16 ; 8 ; Clock enable ; no ; -- ; -- ; -- ; +; RAM:inst1|memory[194][23]~970 ; LCCOMB_X27_Y6_N2 ; 8 ; Clock enable ; no ; -- ; -- ; -- ; +; RAM:inst1|memory[194][31]~972 ; LCCOMB_X34_Y8_N22 ; 8 ; Clock enable ; no ; -- ; -- ; -- ; +; RAM:inst1|memory[194][7]~971 ; LCCOMB_X34_Y13_N28 ; 8 ; Clock enable ; no ; -- ; -- ; -- ; +; RAM:inst1|memory[195][15]~995 ; LCCOMB_X45_Y24_N0 ; 8 ; Clock enable ; no ; -- ; -- ; -- ; +; RAM:inst1|memory[195][23]~999 ; LCCOMB_X35_Y12_N26 ; 8 ; Clock enable ; no ; -- ; -- ; -- ; +; RAM:inst1|memory[195][31]~1007 ; LCCOMB_X37_Y25_N20 ; 8 ; Clock enable ; no ; -- ; -- ; -- ; +; RAM:inst1|memory[195][7]~1003 ; LCCOMB_X34_Y13_N10 ; 8 ; Clock enable ; no ; -- ; -- ; -- ; +; RAM:inst1|memory[196][15]~977 ; LCCOMB_X49_Y19_N10 ; 8 ; Clock enable ; no ; -- ; -- ; -- ; +; RAM:inst1|memory[196][23]~982 ; LCCOMB_X36_Y28_N6 ; 8 ; Clock enable ; no ; -- ; -- ; -- ; +; RAM:inst1|memory[196][31]~989 ; LCCOMB_X44_Y10_N30 ; 8 ; Clock enable ; no ; -- ; -- ; -- ; +; RAM:inst1|memory[196][7]~986 ; LCCOMB_X43_Y15_N24 ; 8 ; Clock enable ; no ; -- ; -- ; -- ; +; RAM:inst1|memory[197][15]~949 ; LCCOMB_X48_Y27_N14 ; 8 ; Clock enable ; no ; -- ; -- ; -- ; +; RAM:inst1|memory[197][23]~946 ; LCCOMB_X18_Y27_N20 ; 8 ; Clock enable ; no ; -- ; -- ; -- ; +; RAM:inst1|memory[197][31]~957 ; LCCOMB_X27_Y29_N24 ; 8 ; Clock enable ; no ; -- ; -- ; -- ; +; RAM:inst1|memory[197][7]~954 ; LCCOMB_X27_Y32_N14 ; 8 ; Clock enable ; no ; -- ; -- ; -- ; +; RAM:inst1|memory[198][15]~966 ; LCCOMB_X43_Y12_N24 ; 8 ; Clock enable ; no ; -- ; -- ; -- ; +; RAM:inst1|memory[198][23]~965 ; LCCOMB_X43_Y7_N14 ; 8 ; Clock enable ; no ; -- ; -- ; -- ; +; RAM:inst1|memory[198][31]~968 ; LCCOMB_X45_Y9_N26 ; 8 ; Clock enable ; no ; -- ; -- ; -- ; +; RAM:inst1|memory[198][7]~967 ; LCCOMB_X41_Y8_N2 ; 8 ; Clock enable ; no ; -- ; -- ; -- ; +; RAM:inst1|memory[199][15]~994 ; LCCOMB_X45_Y21_N6 ; 8 ; Clock enable ; no ; -- ; -- ; -- ; +; RAM:inst1|memory[199][23]~997 ; LCCOMB_X39_Y12_N12 ; 8 ; Clock enable ; no ; -- ; -- ; -- ; +; RAM:inst1|memory[199][31]~1006 ; LCCOMB_X43_Y21_N16 ; 8 ; Clock enable ; no ; -- ; -- ; -- ; +; RAM:inst1|memory[199][7]~1001 ; LCCOMB_X36_Y13_N26 ; 8 ; Clock enable ; no ; -- ; -- ; -- ; +; RAM:inst1|memory[19][15]~675 ; LCCOMB_X26_Y26_N10 ; 8 ; Clock enable ; no ; -- ; -- ; -- ; +; RAM:inst1|memory[19][23]~679 ; LCCOMB_X23_Y14_N22 ; 8 ; Clock enable ; no ; -- ; -- ; -- ; +; RAM:inst1|memory[19][31]~687 ; LCCOMB_X19_Y15_N30 ; 8 ; Clock enable ; no ; -- ; -- ; -- ; +; RAM:inst1|memory[19][7]~683 ; LCCOMB_X24_Y17_N20 ; 8 ; Clock enable ; no ; -- ; -- ; -- ; +; RAM:inst1|memory[1][15]~713 ; LCCOMB_X41_Y27_N14 ; 8 ; Clock enable ; no ; -- ; -- ; -- ; +; RAM:inst1|memory[1][23]~697 ; LCCOMB_X19_Y31_N12 ; 8 ; Clock enable ; no ; -- ; -- ; -- ; +; RAM:inst1|memory[1][31]~745 ; LCCOMB_X20_Y19_N18 ; 8 ; Clock enable ; no ; -- ; -- ; -- ; +; RAM:inst1|memory[1][7]~729 ; LCCOMB_X19_Y24_N24 ; 8 ; Clock enable ; no ; -- ; -- ; -- ; +; RAM:inst1|memory[200][15]~978 ; LCCOMB_X12_Y19_N26 ; 8 ; Clock enable ; no ; -- ; -- ; -- ; +; RAM:inst1|memory[200][23]~981 ; LCCOMB_X11_Y16_N30 ; 8 ; Clock enable ; no ; -- ; -- ; -- ; +; RAM:inst1|memory[200][31]~990 ; LCCOMB_X14_Y18_N2 ; 8 ; Clock enable ; no ; -- ; -- ; -- ; +; RAM:inst1|memory[200][7]~985 ; LCCOMB_X31_Y19_N28 ; 8 ; Clock enable ; no ; -- ; -- ; -- ; +; RAM:inst1|memory[201][15]~950 ; LCCOMB_X15_Y28_N14 ; 8 ; Clock enable ; no ; -- ; -- ; -- ; +; RAM:inst1|memory[201][23]~945 ; LCCOMB_X14_Y27_N2 ; 8 ; Clock enable ; no ; -- ; -- ; -- ; +; RAM:inst1|memory[201][31]~958 ; LCCOMB_X19_Y27_N20 ; 8 ; Clock enable ; no ; -- ; -- ; -- ; +; RAM:inst1|memory[201][7]~953 ; LCCOMB_X19_Y32_N8 ; 8 ; Clock enable ; no ; -- ; -- ; -- ; +; RAM:inst1|memory[202][15]~961 ; LCCOMB_X37_Y10_N2 ; 8 ; Clock enable ; no ; -- ; -- ; -- ; +; RAM:inst1|memory[202][23]~962 ; LCCOMB_X12_Y6_N2 ; 8 ; Clock enable ; no ; -- ; -- ; -- ; +; RAM:inst1|memory[202][31]~964 ; LCCOMB_X15_Y21_N20 ; 8 ; Clock enable ; no ; -- ; -- ; -- ; +; RAM:inst1|memory[202][7]~963 ; LCCOMB_X10_Y6_N2 ; 8 ; Clock enable ; no ; -- ; -- ; -- ; +; RAM:inst1|memory[203][15]~993 ; LCCOMB_X23_Y23_N0 ; 8 ; Clock enable ; no ; -- ; -- ; -- ; +; RAM:inst1|memory[203][23]~998 ; LCCOMB_X15_Y9_N22 ; 8 ; Clock enable ; no ; -- ; -- ; -- ; +; RAM:inst1|memory[203][31]~1005 ; LCCOMB_X15_Y21_N22 ; 8 ; Clock enable ; no ; -- ; -- ; -- ; +; RAM:inst1|memory[203][7]~1002 ; LCCOMB_X31_Y19_N2 ; 8 ; Clock enable ; no ; -- ; -- ; -- ; +; RAM:inst1|memory[204][15]~980 ; LCCOMB_X36_Y21_N12 ; 8 ; Clock enable ; no ; -- ; -- ; -- ; +; RAM:inst1|memory[204][23]~984 ; LCCOMB_X31_Y12_N14 ; 8 ; Clock enable ; no ; -- ; -- ; -- ; +; RAM:inst1|memory[204][31]~992 ; LCCOMB_X32_Y16_N14 ; 8 ; Clock enable ; no ; -- ; -- ; -- ; +; RAM:inst1|memory[204][7]~988 ; LCCOMB_X35_Y16_N26 ; 8 ; Clock enable ; no ; -- ; -- ; -- ; +; RAM:inst1|memory[205][15]~952 ; LCCOMB_X40_Y28_N0 ; 8 ; Clock enable ; no ; -- ; -- ; -- ; +; RAM:inst1|memory[205][23]~948 ; LCCOMB_X18_Y31_N26 ; 8 ; Clock enable ; no ; -- ; -- ; -- ; +; RAM:inst1|memory[205][31]~960 ; LCCOMB_X20_Y27_N2 ; 8 ; Clock enable ; no ; -- ; -- ; -- ; +; RAM:inst1|memory[205][7]~956 ; LCCOMB_X27_Y32_N0 ; 8 ; Clock enable ; no ; -- ; -- ; -- ; +; RAM:inst1|memory[206][15]~974 ; LCCOMB_X46_Y21_N2 ; 8 ; Clock enable ; no ; -- ; -- ; -- ; +; RAM:inst1|memory[206][23]~973 ; LCCOMB_X31_Y6_N30 ; 8 ; Clock enable ; no ; -- ; -- ; -- ; +; RAM:inst1|memory[206][31]~976 ; LCCOMB_X34_Y10_N30 ; 8 ; Clock enable ; no ; -- ; -- ; -- ; +; RAM:inst1|memory[206][7]~975 ; LCCOMB_X36_Y17_N18 ; 8 ; Clock enable ; no ; -- ; -- ; -- ; +; RAM:inst1|memory[207][15]~996 ; LCCOMB_X46_Y24_N26 ; 8 ; Clock enable ; no ; -- ; -- ; -- ; +; RAM:inst1|memory[207][23]~1000 ; LCCOMB_X34_Y12_N18 ; 8 ; Clock enable ; no ; -- ; -- ; -- ; +; RAM:inst1|memory[207][31]~1008 ; LCCOMB_X35_Y21_N2 ; 8 ; Clock enable ; no ; -- ; -- ; -- ; +; RAM:inst1|memory[207][7]~1004 ; LCCOMB_X36_Y17_N20 ; 8 ; Clock enable ; no ; -- ; -- ; -- ; +; RAM:inst1|memory[208][15]~843 ; LCCOMB_X20_Y9_N12 ; 8 ; Clock enable ; no ; -- ; -- ; -- ; +; RAM:inst1|memory[208][23]~827 ; LCCOMB_X24_Y10_N10 ; 8 ; Clock enable ; no ; -- ; -- ; -- ; +; RAM:inst1|memory[208][31]~875 ; LCCOMB_X26_Y9_N6 ; 8 ; Clock enable ; no ; -- ; -- ; -- ; +; RAM:inst1|memory[208][7]~859 ; LCCOMB_X19_Y13_N8 ; 8 ; Clock enable ; no ; -- ; -- ; -- ; +; RAM:inst1|memory[209][15]~835 ; LCCOMB_X30_Y27_N10 ; 8 ; Clock enable ; no ; -- ; -- ; -- ; +; RAM:inst1|memory[209][23]~823 ; LCCOMB_X24_Y10_N0 ; 8 ; Clock enable ; no ; -- ; -- ; -- ; +; RAM:inst1|memory[209][31]~867 ; LCCOMB_X20_Y14_N0 ; 8 ; Clock enable ; no ; -- ; -- ; -- ; +; RAM:inst1|memory[209][7]~855 ; LCCOMB_X17_Y20_N14 ; 8 ; Clock enable ; no ; -- ; -- ; -- ; +; RAM:inst1|memory[20][15]~662 ; LCCOMB_X35_Y15_N2 ; 8 ; Clock enable ; no ; -- ; -- ; -- ; +; RAM:inst1|memory[20][23]~661 ; LCCOMB_X35_Y15_N16 ; 8 ; Clock enable ; no ; -- ; -- ; -- ; +; RAM:inst1|memory[20][31]~664 ; LCCOMB_X46_Y10_N20 ; 8 ; Clock enable ; no ; -- ; -- ; -- ; +; RAM:inst1|memory[20][7]~663 ; LCCOMB_X47_Y16_N26 ; 8 ; Clock enable ; no ; -- ; -- ; -- ; +; RAM:inst1|memory[210][15]~839 ; LCCOMB_X18_Y8_N2 ; 8 ; Clock enable ; no ; -- ; -- ; -- ; +; RAM:inst1|memory[210][23]~819 ; LCCOMB_X25_Y7_N0 ; 8 ; Clock enable ; no ; -- ; -- ; -- ; +; RAM:inst1|memory[210][31]~871 ; LCCOMB_X23_Y4_N30 ; 8 ; Clock enable ; no ; -- ; -- ; -- ; +; RAM:inst1|memory[210][7]~851 ; LCCOMB_X20_Y4_N10 ; 8 ; Clock enable ; no ; -- ; -- ; -- ; +; RAM:inst1|memory[211][15]~847 ; LCCOMB_X23_Y13_N0 ; 8 ; Clock enable ; no ; -- ; -- ; -- ; +; RAM:inst1|memory[211][23]~831 ; LCCOMB_X25_Y10_N2 ; 8 ; Clock enable ; no ; -- ; -- ; -- ; +; RAM:inst1|memory[211][31]~879 ; LCCOMB_X20_Y15_N28 ; 8 ; Clock enable ; no ; -- ; -- ; -- ; +; RAM:inst1|memory[211][7]~863 ; LCCOMB_X24_Y17_N24 ; 8 ; Clock enable ; no ; -- ; -- ; -- ; +; RAM:inst1|memory[212][15]~841 ; LCCOMB_X46_Y16_N18 ; 8 ; Clock enable ; no ; -- ; -- ; -- ; +; RAM:inst1|memory[212][23]~825 ; LCCOMB_X44_Y12_N30 ; 8 ; Clock enable ; no ; -- ; -- ; -- ; +; RAM:inst1|memory[212][31]~873 ; LCCOMB_X39_Y10_N26 ; 8 ; Clock enable ; no ; -- ; -- ; -- ; +; RAM:inst1|memory[212][7]~857 ; LCCOMB_X43_Y15_N30 ; 8 ; Clock enable ; no ; -- ; -- ; -- ; +; RAM:inst1|memory[213][15]~834 ; LCCOMB_X40_Y24_N22 ; 8 ; Clock enable ; no ; -- ; -- ; -- ; +; RAM:inst1|memory[213][23]~822 ; LCCOMB_X26_Y11_N12 ; 8 ; Clock enable ; no ; -- ; -- ; -- ; +; RAM:inst1|memory[213][31]~866 ; LCCOMB_X31_Y10_N28 ; 8 ; Clock enable ; no ; -- ; -- ; -- ; +; RAM:inst1|memory[213][7]~854 ; LCCOMB_X34_Y25_N30 ; 8 ; Clock enable ; no ; -- ; -- ; -- ; +; RAM:inst1|memory[214][15]~837 ; LCCOMB_X45_Y13_N2 ; 8 ; Clock enable ; no ; -- ; -- ; -- ; +; RAM:inst1|memory[214][23]~817 ; LCCOMB_X40_Y7_N6 ; 8 ; Clock enable ; no ; -- ; -- ; -- ; +; RAM:inst1|memory[214][31]~869 ; LCCOMB_X37_Y7_N22 ; 8 ; Clock enable ; no ; -- ; -- ; -- ; +; RAM:inst1|memory[214][7]~849 ; LCCOMB_X38_Y6_N2 ; 8 ; Clock enable ; no ; -- ; -- ; -- ; +; RAM:inst1|memory[215][15]~846 ; LCCOMB_X47_Y25_N0 ; 8 ; Clock enable ; no ; -- ; -- ; -- ; +; RAM:inst1|memory[215][23]~830 ; LCCOMB_X19_Y13_N6 ; 8 ; Clock enable ; no ; -- ; -- ; -- ; +; RAM:inst1|memory[215][31]~878 ; LCCOMB_X43_Y21_N24 ; 8 ; Clock enable ; no ; -- ; -- ; -- ; +; RAM:inst1|memory[215][7]~862 ; LCCOMB_X24_Y13_N26 ; 8 ; Clock enable ; no ; -- ; -- ; -- ; +; RAM:inst1|memory[216][15]~842 ; LCCOMB_X11_Y13_N4 ; 8 ; Clock enable ; no ; -- ; -- ; -- ; +; RAM:inst1|memory[216][23]~826 ; LCCOMB_X10_Y10_N22 ; 8 ; Clock enable ; no ; -- ; -- ; -- ; +; RAM:inst1|memory[216][31]~874 ; LCCOMB_X11_Y10_N30 ; 8 ; Clock enable ; no ; -- ; -- ; -- ; +; RAM:inst1|memory[216][7]~858 ; LCCOMB_X10_Y13_N28 ; 8 ; Clock enable ; no ; -- ; -- ; -- ; +; RAM:inst1|memory[217][15]~833 ; LCCOMB_X10_Y21_N30 ; 8 ; Clock enable ; no ; -- ; -- ; -- ; +; RAM:inst1|memory[217][23]~821 ; LCCOMB_X40_Y24_N12 ; 8 ; Clock enable ; no ; -- ; -- ; -- ; +; RAM:inst1|memory[217][31]~865 ; LCCOMB_X14_Y13_N6 ; 8 ; Clock enable ; no ; -- ; -- ; -- ; +; RAM:inst1|memory[217][7]~853 ; LCCOMB_X14_Y20_N30 ; 8 ; Clock enable ; no ; -- ; -- ; -- ; +; RAM:inst1|memory[218][15]~838 ; LCCOMB_X14_Y4_N4 ; 8 ; Clock enable ; no ; -- ; -- ; -- ; +; RAM:inst1|memory[218][23]~818 ; LCCOMB_X14_Y7_N0 ; 8 ; Clock enable ; no ; -- ; -- ; -- ; +; RAM:inst1|memory[218][31]~870 ; LCCOMB_X26_Y9_N20 ; 8 ; Clock enable ; no ; -- ; -- ; -- ; +; RAM:inst1|memory[218][7]~850 ; LCCOMB_X14_Y4_N30 ; 8 ; Clock enable ; no ; -- ; -- ; -- ; +; RAM:inst1|memory[219][15]~845 ; LCCOMB_X16_Y13_N10 ; 8 ; Clock enable ; no ; -- ; -- ; -- ; +; RAM:inst1|memory[219][23]~829 ; LCCOMB_X15_Y9_N10 ; 8 ; Clock enable ; no ; -- ; -- ; -- ; +; RAM:inst1|memory[219][31]~877 ; LCCOMB_X20_Y19_N8 ; 8 ; Clock enable ; no ; -- ; -- ; -- ; +; RAM:inst1|memory[219][7]~861 ; LCCOMB_X16_Y13_N24 ; 8 ; Clock enable ; no ; -- ; -- ; -- ; +; RAM:inst1|memory[21][15]~642 ; LCCOMB_X40_Y24_N28 ; 8 ; Clock enable ; no ; -- ; -- ; -- ; +; RAM:inst1|memory[21][23]~641 ; LCCOMB_X26_Y18_N14 ; 8 ; Clock enable ; no ; -- ; -- ; -- ; +; RAM:inst1|memory[21][31]~644 ; LCCOMB_X26_Y18_N4 ; 8 ; Clock enable ; no ; -- ; -- ; -- ; +; RAM:inst1|memory[21][7]~643 ; LCCOMB_X34_Y25_N8 ; 8 ; Clock enable ; no ; -- ; -- ; -- ; +; RAM:inst1|memory[220][15]~844 ; LCCOMB_X36_Y21_N2 ; 8 ; Clock enable ; no ; -- ; -- ; -- ; +; RAM:inst1|memory[220][23]~828 ; LCCOMB_X29_Y6_N2 ; 8 ; Clock enable ; no ; -- ; -- ; -- ; +; RAM:inst1|memory[220][31]~876 ; LCCOMB_X32_Y16_N22 ; 8 ; Clock enable ; no ; -- ; -- ; -- ; +; RAM:inst1|memory[220][7]~860 ; LCCOMB_X28_Y9_N22 ; 8 ; Clock enable ; no ; -- ; -- ; -- ; +; RAM:inst1|memory[221][15]~836 ; LCCOMB_X28_Y27_N26 ; 8 ; Clock enable ; no ; -- ; -- ; -- ; +; RAM:inst1|memory[221][23]~824 ; LCCOMB_X18_Y11_N14 ; 8 ; Clock enable ; no ; -- ; -- ; -- ; +; RAM:inst1|memory[221][31]~868 ; LCCOMB_X20_Y27_N28 ; 8 ; Clock enable ; no ; -- ; -- ; -- ; +; RAM:inst1|memory[221][7]~856 ; LCCOMB_X20_Y24_N14 ; 8 ; Clock enable ; no ; -- ; -- ; -- ; +; RAM:inst1|memory[222][15]~840 ; LCCOMB_X26_Y13_N30 ; 8 ; Clock enable ; no ; -- ; -- ; -- ; +; RAM:inst1|memory[222][23]~820 ; LCCOMB_X29_Y6_N4 ; 8 ; Clock enable ; no ; -- ; -- ; -- ; +; RAM:inst1|memory[222][31]~872 ; LCCOMB_X34_Y7_N6 ; 8 ; Clock enable ; no ; -- ; -- ; -- ; +; RAM:inst1|memory[222][7]~852 ; LCCOMB_X24_Y26_N4 ; 8 ; Clock enable ; no ; -- ; -- ; -- ; +; RAM:inst1|memory[223][15]~848 ; LCCOMB_X26_Y13_N0 ; 8 ; Clock enable ; no ; -- ; -- ; -- ; +; RAM:inst1|memory[223][23]~832 ; LCCOMB_X23_Y12_N18 ; 8 ; Clock enable ; no ; -- ; -- ; -- ; +; RAM:inst1|memory[223][31]~880 ; LCCOMB_X25_Y14_N8 ; 8 ; Clock enable ; no ; -- ; -- ; -- ; +; RAM:inst1|memory[223][7]~864 ; LCCOMB_X18_Y13_N2 ; 8 ; Clock enable ; no ; -- ; -- ; -- ; +; RAM:inst1|memory[224][15]~922 ; LCCOMB_X39_Y30_N30 ; 8 ; Clock enable ; no ; -- ; -- ; -- ; +; RAM:inst1|memory[224][23]~921 ; LCCOMB_X35_Y29_N26 ; 8 ; Clock enable ; no ; -- ; -- ; -- ; +; RAM:inst1|memory[224][31]~924 ; LCCOMB_X26_Y9_N26 ; 8 ; Clock enable ; no ; -- ; -- ; -- ; +; RAM:inst1|memory[224][7]~923 ; LCCOMB_X40_Y29_N30 ; 8 ; Clock enable ; no ; -- ; -- ; -- ; +; RAM:inst1|memory[225][15]~906 ; LCCOMB_X46_Y31_N4 ; 8 ; Clock enable ; no ; -- ; -- ; -- ; +; RAM:inst1|memory[225][23]~905 ; LCCOMB_X20_Y29_N22 ; 8 ; Clock enable ; no ; -- ; -- ; -- ; +; RAM:inst1|memory[225][31]~908 ; LCCOMB_X37_Y31_N26 ; 8 ; Clock enable ; no ; -- ; -- ; -- ; +; RAM:inst1|memory[225][7]~907 ; LCCOMB_X44_Y31_N0 ; 8 ; Clock enable ; no ; -- ; -- ; -- ; +; RAM:inst1|memory[226][15]~890 ; LCCOMB_X37_Y13_N6 ; 8 ; Clock enable ; no ; -- ; -- ; -- ; +; RAM:inst1|memory[226][23]~889 ; LCCOMB_X39_Y9_N14 ; 8 ; Clock enable ; no ; -- ; -- ; -- ; +; RAM:inst1|memory[226][31]~892 ; LCCOMB_X39_Y9_N24 ; 8 ; Clock enable ; no ; -- ; -- ; -- ; +; RAM:inst1|memory[226][7]~891 ; LCCOMB_X43_Y11_N26 ; 8 ; Clock enable ; no ; -- ; -- ; -- ; +; RAM:inst1|memory[227][15]~938 ; LCCOMB_X45_Y31_N22 ; 8 ; Clock enable ; no ; -- ; -- ; -- ; +; RAM:inst1|memory[227][23]~937 ; LCCOMB_X36_Y29_N22 ; 8 ; Clock enable ; no ; -- ; -- ; -- ; +; RAM:inst1|memory[227][31]~940 ; LCCOMB_X40_Y27_N26 ; 8 ; Clock enable ; no ; -- ; -- ; -- ; +; RAM:inst1|memory[227][7]~939 ; LCCOMB_X24_Y17_N10 ; 8 ; Clock enable ; no ; -- ; -- ; -- ; +; RAM:inst1|memory[228][15]~913 ; LCCOMB_X49_Y16_N0 ; 8 ; Clock enable ; no ; -- ; -- ; -- ; +; RAM:inst1|memory[228][23]~914 ; LCCOMB_X43_Y14_N10 ; 8 ; Clock enable ; no ; -- ; -- ; -- ; +; RAM:inst1|memory[228][31]~916 ; LCCOMB_X16_Y18_N10 ; 8 ; Clock enable ; no ; -- ; -- ; -- ; +; RAM:inst1|memory[228][7]~915 ; LCCOMB_X44_Y17_N2 ; 8 ; Clock enable ; no ; -- ; -- ; -- ; +; RAM:inst1|memory[229][15]~901 ; LCCOMB_X40_Y24_N6 ; 8 ; Clock enable ; no ; -- ; -- ; -- ; +; RAM:inst1|memory[229][23]~902 ; LCCOMB_X27_Y28_N30 ; 8 ; Clock enable ; no ; -- ; -- ; -- ; +; RAM:inst1|memory[229][31]~904 ; LCCOMB_X40_Y31_N20 ; 8 ; Clock enable ; no ; -- ; -- ; -- ; +; RAM:inst1|memory[229][7]~903 ; LCCOMB_X43_Y27_N2 ; 8 ; Clock enable ; no ; -- ; -- ; -- ; +; RAM:inst1|memory[22][15]~630 ; LCCOMB_X17_Y6_N24 ; 8 ; Clock enable ; no ; -- ; -- ; -- ; +; RAM:inst1|memory[22][23]~629 ; LCCOMB_X43_Y7_N22 ; 8 ; Clock enable ; no ; -- ; -- ; -- ; +; RAM:inst1|memory[22][31]~632 ; LCCOMB_X44_Y4_N26 ; 8 ; Clock enable ; no ; -- ; -- ; -- ; +; RAM:inst1|memory[22][7]~631 ; LCCOMB_X44_Y8_N2 ; 8 ; Clock enable ; no ; -- ; -- ; -- ; +; RAM:inst1|memory[230][15]~881 ; LCCOMB_X49_Y16_N6 ; 8 ; Clock enable ; no ; -- ; -- ; -- ; +; RAM:inst1|memory[230][23]~882 ; LCCOMB_X43_Y7_N8 ; 8 ; Clock enable ; no ; -- ; -- ; -- ; +; RAM:inst1|memory[230][31]~884 ; LCCOMB_X44_Y11_N20 ; 8 ; Clock enable ; no ; -- ; -- ; -- ; +; RAM:inst1|memory[230][7]~883 ; LCCOMB_X43_Y11_N20 ; 8 ; Clock enable ; no ; -- ; -- ; -- ; +; RAM:inst1|memory[231][15]~933 ; LCCOMB_X48_Y24_N12 ; 8 ; Clock enable ; no ; -- ; -- ; -- ; +; RAM:inst1|memory[231][23]~934 ; LCCOMB_X41_Y18_N10 ; 8 ; Clock enable ; no ; -- ; -- ; -- ; +; RAM:inst1|memory[231][31]~936 ; LCCOMB_X43_Y21_N30 ; 8 ; Clock enable ; no ; -- ; -- ; -- ; +; RAM:inst1|memory[231][7]~935 ; LCCOMB_X43_Y13_N10 ; 8 ; Clock enable ; no ; -- ; -- ; -- ; +; RAM:inst1|memory[232][15]~918 ; LCCOMB_X12_Y14_N22 ; 8 ; Clock enable ; no ; -- ; -- ; -- ; +; RAM:inst1|memory[232][23]~917 ; LCCOMB_X12_Y23_N2 ; 8 ; Clock enable ; no ; -- ; -- ; -- ; +; RAM:inst1|memory[232][31]~920 ; LCCOMB_X12_Y22_N18 ; 8 ; Clock enable ; no ; -- ; -- ; -- ; +; RAM:inst1|memory[232][7]~919 ; LCCOMB_X12_Y21_N14 ; 8 ; Clock enable ; no ; -- ; -- ; -- ; +; RAM:inst1|memory[233][15]~898 ; LCCOMB_X16_Y30_N6 ; 8 ; Clock enable ; no ; -- ; -- ; -- ; +; RAM:inst1|memory[233][23]~897 ; LCCOMB_X40_Y24_N16 ; 8 ; Clock enable ; no ; -- ; -- ; -- ; +; RAM:inst1|memory[233][31]~900 ; LCCOMB_X20_Y29_N28 ; 8 ; Clock enable ; no ; -- ; -- ; -- ; +; RAM:inst1|memory[233][7]~899 ; LCCOMB_X24_Y26_N18 ; 8 ; Clock enable ; no ; -- ; -- ; -- ; +; RAM:inst1|memory[234][15]~886 ; LCCOMB_X12_Y14_N24 ; 8 ; Clock enable ; no ; -- ; -- ; -- ; +; RAM:inst1|memory[234][23]~885 ; LCCOMB_X14_Y10_N30 ; 8 ; Clock enable ; no ; -- ; -- ; -- ; +; RAM:inst1|memory[234][31]~888 ; LCCOMB_X26_Y9_N4 ; 8 ; Clock enable ; no ; -- ; -- ; -- ; +; RAM:inst1|memory[234][7]~887 ; LCCOMB_X12_Y13_N16 ; 8 ; Clock enable ; no ; -- ; -- ; -- ; +; RAM:inst1|memory[235][15]~930 ; LCCOMB_X20_Y31_N0 ; 8 ; Clock enable ; no ; -- ; -- ; -- ; +; RAM:inst1|memory[235][23]~929 ; LCCOMB_X15_Y9_N16 ; 8 ; Clock enable ; no ; -- ; -- ; -- ; +; RAM:inst1|memory[235][31]~932 ; LCCOMB_X40_Y27_N16 ; 8 ; Clock enable ; no ; -- ; -- ; -- ; +; RAM:inst1|memory[235][7]~931 ; LCCOMB_X12_Y13_N18 ; 8 ; Clock enable ; no ; -- ; -- ; -- ; +; RAM:inst1|memory[236][15]~925 ; LCCOMB_X38_Y22_N22 ; 8 ; Clock enable ; no ; -- ; -- ; -- ; +; RAM:inst1|memory[236][23]~926 ; LCCOMB_X31_Y11_N22 ; 8 ; Clock enable ; no ; -- ; -- ; -- ; +; RAM:inst1|memory[236][31]~928 ; LCCOMB_X32_Y16_N28 ; 8 ; Clock enable ; no ; -- ; -- ; -- ; +; RAM:inst1|memory[236][7]~927 ; LCCOMB_X37_Y13_N24 ; 8 ; Clock enable ; no ; -- ; -- ; -- ; +; RAM:inst1|memory[237][15]~909 ; LCCOMB_X39_Y31_N0 ; 8 ; Clock enable ; no ; -- ; -- ; -- ; +; RAM:inst1|memory[237][23]~910 ; LCCOMB_X30_Y31_N6 ; 8 ; Clock enable ; no ; -- ; -- ; -- ; +; RAM:inst1|memory[237][31]~912 ; LCCOMB_X27_Y31_N10 ; 8 ; Clock enable ; no ; -- ; -- ; -- ; +; RAM:inst1|memory[237][7]~911 ; LCCOMB_X29_Y25_N6 ; 8 ; Clock enable ; no ; -- ; -- ; -- ; +; RAM:inst1|memory[238][15]~893 ; LCCOMB_X39_Y21_N8 ; 8 ; Clock enable ; no ; -- ; -- ; -- ; +; RAM:inst1|memory[238][23]~894 ; LCCOMB_X23_Y18_N6 ; 8 ; Clock enable ; no ; -- ; -- ; -- ; +; RAM:inst1|memory[238][31]~896 ; LCCOMB_X34_Y10_N0 ; 8 ; Clock enable ; no ; -- ; -- ; -- ; +; RAM:inst1|memory[238][7]~895 ; LCCOMB_X31_Y8_N2 ; 8 ; Clock enable ; no ; -- ; -- ; -- ; +; RAM:inst1|memory[239][15]~941 ; LCCOMB_X39_Y31_N18 ; 8 ; Clock enable ; no ; -- ; -- ; -- ; +; RAM:inst1|memory[239][23]~942 ; LCCOMB_X36_Y20_N22 ; 8 ; Clock enable ; no ; -- ; -- ; -- ; +; RAM:inst1|memory[239][31]~944 ; LCCOMB_X40_Y20_N16 ; 8 ; Clock enable ; no ; -- ; -- ; -- ; +; RAM:inst1|memory[239][7]~943 ; LCCOMB_X36_Y20_N24 ; 8 ; Clock enable ; no ; -- ; -- ; -- ; +; RAM:inst1|memory[23][15]~674 ; LCCOMB_X47_Y25_N28 ; 8 ; Clock enable ; no ; -- ; -- ; -- ; +; RAM:inst1|memory[23][23]~677 ; LCCOMB_X37_Y18_N4 ; 8 ; Clock enable ; no ; -- ; -- ; -- ; +; RAM:inst1|memory[23][31]~686 ; LCCOMB_X37_Y18_N14 ; 8 ; Clock enable ; no ; -- ; -- ; -- ; +; RAM:inst1|memory[23][7]~681 ; LCCOMB_X44_Y19_N20 ; 8 ; Clock enable ; no ; -- ; -- ; -- ; +; RAM:inst1|memory[240][15]~1019 ; LCCOMB_X16_Y16_N6 ; 8 ; Clock enable ; no ; -- ; -- ; -- ; +; RAM:inst1|memory[240][23]~1035 ; LCCOMB_X19_Y17_N0 ; 8 ; Clock enable ; no ; -- ; -- ; -- ; +; RAM:inst1|memory[240][31]~1067 ; LCCOMB_X26_Y9_N14 ; 8 ; Clock enable ; no ; -- ; -- ; -- ; +; RAM:inst1|memory[240][7]~1051 ; LCCOMB_X19_Y17_N2 ; 8 ; Clock enable ; no ; -- ; -- ; -- ; +; RAM:inst1|memory[241][15]~1011 ; LCCOMB_X23_Y24_N30 ; 8 ; Clock enable ; no ; -- ; -- ; -- ; +; RAM:inst1|memory[241][23]~1031 ; LCCOMB_X18_Y24_N4 ; 8 ; Clock enable ; no ; -- ; -- ; -- ; +; RAM:inst1|memory[241][31]~1059 ; LCCOMB_X20_Y19_N22 ; 8 ; Clock enable ; no ; -- ; -- ; -- ; +; RAM:inst1|memory[241][7]~1047 ; LCCOMB_X19_Y24_N14 ; 8 ; Clock enable ; no ; -- ; -- ; -- ; +; RAM:inst1|memory[242][15]~1015 ; LCCOMB_X18_Y8_N14 ; 8 ; Clock enable ; no ; -- ; -- ; -- ; +; RAM:inst1|memory[242][23]~1027 ; LCCOMB_X26_Y5_N14 ; 8 ; Clock enable ; no ; -- ; -- ; -- ; +; RAM:inst1|memory[242][31]~1063 ; LCCOMB_X39_Y9_N22 ; 8 ; Clock enable ; no ; -- ; -- ; -- ; +; RAM:inst1|memory[242][7]~1043 ; LCCOMB_X30_Y8_N16 ; 8 ; Clock enable ; no ; -- ; -- ; -- ; +; RAM:inst1|memory[243][15]~1023 ; LCCOMB_X18_Y16_N30 ; 8 ; Clock enable ; no ; -- ; -- ; -- ; +; RAM:inst1|memory[243][23]~1039 ; LCCOMB_X19_Y12_N30 ; 8 ; Clock enable ; no ; -- ; -- ; -- ; +; RAM:inst1|memory[243][31]~1071 ; LCCOMB_X18_Y17_N4 ; 8 ; Clock enable ; no ; -- ; -- ; -- ; +; RAM:inst1|memory[243][7]~1055 ; LCCOMB_X24_Y17_N16 ; 8 ; Clock enable ; no ; -- ; -- ; -- ; +; RAM:inst1|memory[244][15]~1017 ; LCCOMB_X47_Y19_N0 ; 8 ; Clock enable ; no ; -- ; -- ; -- ; +; RAM:inst1|memory[244][23]~1033 ; LCCOMB_X41_Y12_N2 ; 8 ; Clock enable ; no ; -- ; -- ; -- ; +; RAM:inst1|memory[244][31]~1065 ; LCCOMB_X44_Y14_N2 ; 8 ; Clock enable ; no ; -- ; -- ; -- ; +; RAM:inst1|memory[244][7]~1049 ; LCCOMB_X43_Y15_N18 ; 8 ; Clock enable ; no ; -- ; -- ; -- ; +; RAM:inst1|memory[245][15]~1010 ; LCCOMB_X47_Y24_N18 ; 8 ; Clock enable ; no ; -- ; -- ; -- ; +; RAM:inst1|memory[245][23]~1030 ; LCCOMB_X27_Y28_N8 ; 8 ; Clock enable ; no ; -- ; -- ; -- ; +; RAM:inst1|memory[245][31]~1058 ; LCCOMB_X31_Y10_N30 ; 8 ; Clock enable ; no ; -- ; -- ; -- ; +; RAM:inst1|memory[245][7]~1046 ; LCCOMB_X34_Y25_N20 ; 8 ; Clock enable ; no ; -- ; -- ; -- ; +; RAM:inst1|memory[246][15]~1013 ; LCCOMB_X19_Y17_N14 ; 8 ; Clock enable ; no ; -- ; -- ; -- ; +; RAM:inst1|memory[246][23]~1025 ; LCCOMB_X43_Y7_N0 ; 8 ; Clock enable ; no ; -- ; -- ; -- ; +; RAM:inst1|memory[246][31]~1061 ; LCCOMB_X45_Y9_N8 ; 8 ; Clock enable ; no ; -- ; -- ; -- ; +; RAM:inst1|memory[246][7]~1041 ; LCCOMB_X44_Y8_N12 ; 8 ; Clock enable ; no ; -- ; -- ; -- ; +; RAM:inst1|memory[247][15]~1022 ; LCCOMB_X47_Y25_N6 ; 8 ; Clock enable ; no ; -- ; -- ; -- ; +; RAM:inst1|memory[247][23]~1038 ; LCCOMB_X29_Y12_N0 ; 8 ; Clock enable ; no ; -- ; -- ; -- ; +; RAM:inst1|memory[247][31]~1070 ; LCCOMB_X43_Y21_N20 ; 8 ; Clock enable ; no ; -- ; -- ; -- ; +; RAM:inst1|memory[247][7]~1054 ; LCCOMB_X25_Y20_N2 ; 8 ; Clock enable ; no ; -- ; -- ; -- ; +; RAM:inst1|memory[248][15]~1018 ; LCCOMB_X11_Y22_N28 ; 8 ; Clock enable ; no ; -- ; -- ; -- ; +; RAM:inst1|memory[248][23]~1034 ; LCCOMB_X10_Y12_N8 ; 8 ; Clock enable ; no ; -- ; -- ; -- ; +; RAM:inst1|memory[248][31]~1066 ; LCCOMB_X10_Y18_N16 ; 8 ; Clock enable ; no ; -- ; -- ; -- ; +; RAM:inst1|memory[248][7]~1050 ; LCCOMB_X10_Y16_N22 ; 8 ; Clock enable ; no ; -- ; -- ; -- ; +; RAM:inst1|memory[249][15]~1009 ; LCCOMB_X11_Y24_N6 ; 8 ; Clock enable ; no ; -- ; -- ; -- ; +; RAM:inst1|memory[249][23]~1029 ; LCCOMB_X17_Y24_N18 ; 8 ; Clock enable ; no ; -- ; -- ; -- ; +; RAM:inst1|memory[249][31]~1057 ; LCCOMB_X20_Y29_N4 ; 8 ; Clock enable ; no ; -- ; -- ; -- ; +; RAM:inst1|memory[249][7]~1045 ; LCCOMB_X21_Y27_N4 ; 8 ; Clock enable ; no ; -- ; -- ; -- ; +; RAM:inst1|memory[24][15]~657 ; LCCOMB_X15_Y13_N4 ; 8 ; Clock enable ; no ; -- ; -- ; -- ; +; RAM:inst1|memory[24][23]~658 ; LCCOMB_X9_Y10_N18 ; 8 ; Clock enable ; no ; -- ; -- ; -- ; +; RAM:inst1|memory[24][31]~660 ; LCCOMB_X10_Y18_N22 ; 8 ; Clock enable ; no ; -- ; -- ; -- ; +; RAM:inst1|memory[24][7]~659 ; LCCOMB_X9_Y13_N8 ; 8 ; Clock enable ; no ; -- ; -- ; -- ; +; RAM:inst1|memory[250][15]~1014 ; LCCOMB_X37_Y10_N24 ; 8 ; Clock enable ; no ; -- ; -- ; -- ; +; RAM:inst1|memory[250][23]~1026 ; LCCOMB_X16_Y5_N30 ; 8 ; Clock enable ; no ; -- ; -- ; -- ; +; RAM:inst1|memory[250][31]~1062 ; LCCOMB_X26_Y9_N8 ; 8 ; Clock enable ; no ; -- ; -- ; -- ; +; RAM:inst1|memory[250][7]~1042 ; LCCOMB_X14_Y5_N4 ; 8 ; Clock enable ; no ; -- ; -- ; -- ; +; RAM:inst1|memory[251][15]~1021 ; LCCOMB_X11_Y8_N22 ; 8 ; Clock enable ; no ; -- ; -- ; -- ; +; RAM:inst1|memory[251][23]~1037 ; LCCOMB_X15_Y9_N8 ; 8 ; Clock enable ; no ; -- ; -- ; -- ; +; RAM:inst1|memory[251][31]~1069 ; LCCOMB_X20_Y19_N16 ; 8 ; Clock enable ; no ; -- ; -- ; -- ; +; RAM:inst1|memory[251][7]~1053 ; LCCOMB_X12_Y24_N30 ; 8 ; Clock enable ; no ; -- ; -- ; -- ; +; RAM:inst1|memory[252][15]~1020 ; LCCOMB_X36_Y21_N14 ; 8 ; Clock enable ; no ; -- ; -- ; -- ; +; RAM:inst1|memory[252][23]~1036 ; LCCOMB_X28_Y12_N26 ; 8 ; Clock enable ; no ; -- ; -- ; -- ; +; RAM:inst1|memory[252][31]~1068 ; LCCOMB_X27_Y12_N20 ; 8 ; Clock enable ; no ; -- ; -- ; -- ; +; RAM:inst1|memory[252][7]~1052 ; LCCOMB_X30_Y16_N6 ; 8 ; Clock enable ; no ; -- ; -- ; -- ; +; RAM:inst1|memory[253][15]~1012 ; LCCOMB_X26_Y21_N4 ; 8 ; Clock enable ; no ; -- ; -- ; -- ; +; RAM:inst1|memory[253][23]~1032 ; LCCOMB_X25_Y25_N12 ; 8 ; Clock enable ; no ; -- ; -- ; -- ; +; RAM:inst1|memory[253][31]~1060 ; LCCOMB_X20_Y29_N30 ; 8 ; Clock enable ; no ; -- ; -- ; -- ; +; RAM:inst1|memory[253][7]~1048 ; LCCOMB_X18_Y28_N2 ; 8 ; Clock enable ; no ; -- ; -- ; -- ; +; RAM:inst1|memory[254][15]~1016 ; LCCOMB_X30_Y13_N10 ; 8 ; Clock enable ; no ; -- ; -- ; -- ; +; RAM:inst1|memory[254][23]~1028 ; LCCOMB_X30_Y6_N22 ; 8 ; Clock enable ; no ; -- ; -- ; -- ; +; RAM:inst1|memory[254][31]~1064 ; LCCOMB_X43_Y21_N18 ; 8 ; Clock enable ; no ; -- ; -- ; -- ; +; RAM:inst1|memory[254][7]~1044 ; LCCOMB_X30_Y8_N18 ; 8 ; Clock enable ; no ; -- ; -- ; -- ; +; RAM:inst1|memory[255][15]~1024 ; LCCOMB_X17_Y19_N28 ; 8 ; Clock enable ; no ; -- ; -- ; -- ; +; RAM:inst1|memory[255][23]~1040 ; LCCOMB_X24_Y12_N14 ; 8 ; Clock enable ; no ; -- ; -- ; -- ; +; RAM:inst1|memory[255][31]~1072 ; LCCOMB_X35_Y18_N8 ; 8 ; Clock enable ; no ; -- ; -- ; -- ; +; RAM:inst1|memory[255][7]~1056 ; LCCOMB_X24_Y24_N18 ; 8 ; Clock enable ; no ; -- ; -- ; -- ; +; RAM:inst1|memory[25][15]~645 ; LCCOMB_X18_Y26_N16 ; 8 ; Clock enable ; no ; -- ; -- ; -- ; +; RAM:inst1|memory[25][23]~646 ; LCCOMB_X40_Y24_N14 ; 8 ; Clock enable ; no ; -- ; -- ; -- ; +; RAM:inst1|memory[25][31]~648 ; LCCOMB_X10_Y22_N0 ; 8 ; Clock enable ; no ; -- ; -- ; -- ; +; RAM:inst1|memory[25][7]~647 ; LCCOMB_X10_Y23_N2 ; 8 ; Clock enable ; no ; -- ; -- ; -- ; +; RAM:inst1|memory[26][15]~625 ; LCCOMB_X17_Y6_N2 ; 8 ; Clock enable ; no ; -- ; -- ; -- ; +; RAM:inst1|memory[26][23]~626 ; LCCOMB_X17_Y5_N22 ; 8 ; Clock enable ; no ; -- ; -- ; -- ; +; RAM:inst1|memory[26][31]~628 ; LCCOMB_X16_Y4_N18 ; 8 ; Clock enable ; no ; -- ; -- ; -- ; +; RAM:inst1|memory[26][7]~627 ; LCCOMB_X17_Y4_N26 ; 8 ; Clock enable ; no ; -- ; -- ; -- ; +; RAM:inst1|memory[27][15]~673 ; LCCOMB_X21_Y18_N20 ; 8 ; Clock enable ; no ; -- ; -- ; -- ; +; RAM:inst1|memory[27][23]~678 ; LCCOMB_X23_Y14_N4 ; 8 ; Clock enable ; no ; -- ; -- ; -- ; +; RAM:inst1|memory[27][31]~685 ; LCCOMB_X18_Y14_N18 ; 8 ; Clock enable ; no ; -- ; -- ; -- ; +; RAM:inst1|memory[27][7]~682 ; LCCOMB_X21_Y18_N18 ; 8 ; Clock enable ; no ; -- ; -- ; -- ; +; RAM:inst1|memory[28][15]~670 ; LCCOMB_X15_Y13_N6 ; 8 ; Clock enable ; no ; -- ; -- ; -- ; +; RAM:inst1|memory[28][23]~669 ; LCCOMB_X26_Y8_N30 ; 8 ; Clock enable ; no ; -- ; -- ; -- ; +; RAM:inst1|memory[28][31]~672 ; LCCOMB_X32_Y16_N30 ; 8 ; Clock enable ; no ; -- ; -- ; -- ; +; RAM:inst1|memory[28][7]~671 ; LCCOMB_X27_Y9_N10 ; 8 ; Clock enable ; no ; -- ; -- ; -- ; +; RAM:inst1|memory[29][15]~654 ; LCCOMB_X28_Y27_N28 ; 8 ; Clock enable ; no ; -- ; -- ; -- ; +; RAM:inst1|memory[29][23]~653 ; LCCOMB_X25_Y25_N24 ; 8 ; Clock enable ; no ; -- ; -- ; -- ; +; RAM:inst1|memory[29][31]~656 ; LCCOMB_X19_Y11_N18 ; 8 ; Clock enable ; no ; -- ; -- ; -- ; +; RAM:inst1|memory[29][7]~655 ; LCCOMB_X25_Y26_N2 ; 8 ; Clock enable ; no ; -- ; -- ; -- ; +; RAM:inst1|memory[2][15]~714 ; LCCOMB_X29_Y8_N20 ; 8 ; Clock enable ; no ; -- ; -- ; -- ; +; RAM:inst1|memory[2][23]~698 ; LCCOMB_X23_Y6_N18 ; 8 ; Clock enable ; no ; -- ; -- ; -- ; +; RAM:inst1|memory[2][31]~746 ; LCCOMB_X37_Y8_N30 ; 8 ; Clock enable ; no ; -- ; -- ; -- ; +; RAM:inst1|memory[2][7]~730 ; LCCOMB_X18_Y6_N18 ; 8 ; Clock enable ; no ; -- ; -- ; -- ; +; RAM:inst1|memory[30][15]~638 ; LCCOMB_X26_Y4_N14 ; 8 ; Clock enable ; no ; -- ; -- ; -- ; +; RAM:inst1|memory[30][23]~637 ; LCCOMB_X32_Y5_N30 ; 8 ; Clock enable ; no ; -- ; -- ; -- ; +; RAM:inst1|memory[30][31]~640 ; LCCOMB_X32_Y4_N14 ; 8 ; Clock enable ; no ; -- ; -- ; -- ; +; RAM:inst1|memory[30][7]~639 ; LCCOMB_X36_Y4_N16 ; 8 ; Clock enable ; no ; -- ; -- ; -- ; +; RAM:inst1|memory[31][15]~676 ; LCCOMB_X35_Y23_N24 ; 8 ; Clock enable ; no ; -- ; -- ; -- ; +; RAM:inst1|memory[31][23]~680 ; LCCOMB_X19_Y18_N24 ; 8 ; Clock enable ; no ; -- ; -- ; -- ; +; RAM:inst1|memory[31][31]~688 ; LCCOMB_X18_Y14_N8 ; 8 ; Clock enable ; no ; -- ; -- ; -- ; +; RAM:inst1|memory[31][7]~684 ; LCCOMB_X19_Y18_N2 ; 8 ; Clock enable ; no ; -- ; -- ; -- ; +; RAM:inst1|memory[32][15]~571 ; LCCOMB_X43_Y29_N2 ; 8 ; Clock enable ; no ; -- ; -- ; -- ; +; RAM:inst1|memory[32][23]~587 ; LCCOMB_X34_Y26_N12 ; 8 ; Clock enable ; no ; -- ; -- ; -- ; +; RAM:inst1|memory[32][31]~619 ; LCCOMB_X39_Y22_N24 ; 8 ; Clock enable ; no ; -- ; -- ; -- ; +; RAM:inst1|memory[32][7]~603 ; LCCOMB_X39_Y32_N10 ; 8 ; Clock enable ; no ; -- ; -- ; -- ; +; RAM:inst1|memory[33][15]~569 ; LCCOMB_X24_Y29_N24 ; 8 ; Clock enable ; no ; -- ; -- ; -- ; +; RAM:inst1|memory[33][23]~585 ; LCCOMB_X27_Y22_N10 ; 8 ; Clock enable ; no ; -- ; -- ; -- ; +; RAM:inst1|memory[33][31]~611 ; LCCOMB_X38_Y31_N22 ; 8 ; Clock enable ; no ; -- ; -- ; -- ; +; RAM:inst1|memory[33][7]~601 ; LCCOMB_X24_Y29_N8 ; 8 ; Clock enable ; no ; -- ; -- ; -- ; +; RAM:inst1|memory[34][15]~570 ; LCCOMB_X37_Y9_N0 ; 8 ; Clock enable ; no ; -- ; -- ; -- ; +; RAM:inst1|memory[34][23]~586 ; LCCOMB_X38_Y9_N24 ; 8 ; Clock enable ; no ; -- ; -- ; -- ; +; RAM:inst1|memory[34][31]~615 ; LCCOMB_X37_Y9_N22 ; 8 ; Clock enable ; no ; -- ; -- ; -- ; +; RAM:inst1|memory[34][7]~602 ; LCCOMB_X38_Y9_N30 ; 8 ; Clock enable ; no ; -- ; -- ; -- ; +; RAM:inst1|memory[35][15]~572 ; LCCOMB_X43_Y26_N2 ; 8 ; Clock enable ; no ; -- ; -- ; -- ; +; RAM:inst1|memory[35][23]~588 ; LCCOMB_X38_Y26_N22 ; 8 ; Clock enable ; no ; -- ; -- ; -- ; +; RAM:inst1|memory[35][31]~623 ; LCCOMB_X37_Y26_N12 ; 8 ; Clock enable ; no ; -- ; -- ; -- ; +; RAM:inst1|memory[35][7]~604 ; LCCOMB_X24_Y17_N14 ; 8 ; Clock enable ; no ; -- ; -- ; -- ; +; RAM:inst1|memory[36][15]~567 ; LCCOMB_X45_Y20_N12 ; 8 ; Clock enable ; no ; -- ; -- ; -- ; +; RAM:inst1|memory[36][23]~579 ; LCCOMB_X36_Y18_N26 ; 8 ; Clock enable ; no ; -- ; -- ; -- ; +; RAM:inst1|memory[36][31]~618 ; LCCOMB_X45_Y17_N22 ; 8 ; Clock enable ; no ; -- ; -- ; -- ; +; RAM:inst1|memory[36][7]~595 ; LCCOMB_X45_Y17_N12 ; 8 ; Clock enable ; no ; -- ; -- ; -- ; +; RAM:inst1|memory[37][15]~566 ; LCCOMB_X40_Y24_N30 ; 8 ; Clock enable ; no ; -- ; -- ; -- ; +; RAM:inst1|memory[37][23]~578 ; LCCOMB_X34_Y28_N18 ; 8 ; Clock enable ; no ; -- ; -- ; -- ; +; RAM:inst1|memory[37][31]~609 ; LCCOMB_X31_Y10_N10 ; 8 ; Clock enable ; no ; -- ; -- ; -- ; +; RAM:inst1|memory[37][7]~594 ; LCCOMB_X34_Y32_N20 ; 8 ; Clock enable ; no ; -- ; -- ; -- ; +; RAM:inst1|memory[38][15]~565 ; LCCOMB_X47_Y6_N20 ; 8 ; Clock enable ; no ; -- ; -- ; -- ; +; RAM:inst1|memory[38][23]~577 ; LCCOMB_X43_Y7_N28 ; 8 ; Clock enable ; no ; -- ; -- ; -- ; +; RAM:inst1|memory[38][31]~614 ; LCCOMB_X43_Y8_N30 ; 8 ; Clock enable ; no ; -- ; -- ; -- ; +; RAM:inst1|memory[38][7]~593 ; LCCOMB_X43_Y5_N6 ; 8 ; Clock enable ; no ; -- ; -- ; -- ; +; RAM:inst1|memory[39][15]~568 ; LCCOMB_X47_Y25_N26 ; 8 ; Clock enable ; no ; -- ; -- ; -- ; +; RAM:inst1|memory[39][23]~580 ; LCCOMB_X39_Y14_N12 ; 8 ; Clock enable ; no ; -- ; -- ; -- ; +; RAM:inst1|memory[39][31]~621 ; LCCOMB_X43_Y21_N2 ; 8 ; Clock enable ; no ; -- ; -- ; -- ; +; RAM:inst1|memory[39][7]~596 ; LCCOMB_X43_Y19_N0 ; 8 ; Clock enable ; no ; -- ; -- ; -- ; +; RAM:inst1|memory[3][15]~716 ; LCCOMB_X36_Y23_N6 ; 8 ; Clock enable ; no ; -- ; -- ; -- ; +; RAM:inst1|memory[3][23]~700 ; LCCOMB_X31_Y18_N26 ; 8 ; Clock enable ; no ; -- ; -- ; -- ; +; RAM:inst1|memory[3][31]~748 ; LCCOMB_X38_Y18_N20 ; 8 ; Clock enable ; no ; -- ; -- ; -- ; +; RAM:inst1|memory[3][7]~732 ; LCCOMB_X26_Y24_N30 ; 8 ; Clock enable ; no ; -- ; -- ; -- ; +; RAM:inst1|memory[40][15]~563 ; LCCOMB_X14_Y21_N2 ; 8 ; Clock enable ; no ; -- ; -- ; -- ; +; RAM:inst1|memory[40][23]~583 ; LCCOMB_X14_Y23_N6 ; 8 ; Clock enable ; no ; -- ; -- ; -- ; +; RAM:inst1|memory[40][31]~617 ; LCCOMB_X23_Y15_N12 ; 8 ; Clock enable ; no ; -- ; -- ; -- ; +; RAM:inst1|memory[40][7]~599 ; LCCOMB_X11_Y21_N26 ; 8 ; Clock enable ; no ; -- ; -- ; -- ; +; RAM:inst1|memory[41][15]~561 ; LCCOMB_X15_Y30_N8 ; 8 ; Clock enable ; no ; -- ; -- ; -- ; +; RAM:inst1|memory[41][23]~581 ; LCCOMB_X36_Y11_N22 ; 8 ; Clock enable ; no ; -- ; -- ; -- ; +; RAM:inst1|memory[41][31]~610 ; LCCOMB_X15_Y32_N14 ; 8 ; Clock enable ; no ; -- ; -- ; -- ; +; RAM:inst1|memory[41][7]~597 ; LCCOMB_X24_Y29_N14 ; 8 ; Clock enable ; no ; -- ; -- ; -- ; +; RAM:inst1|memory[42][15]~562 ; LCCOMB_X11_Y14_N26 ; 8 ; Clock enable ; no ; -- ; -- ; -- ; +; RAM:inst1|memory[42][23]~582 ; LCCOMB_X15_Y10_N12 ; 8 ; Clock enable ; no ; -- ; -- ; -- ; +; RAM:inst1|memory[42][31]~613 ; LCCOMB_X12_Y7_N4 ; 8 ; Clock enable ; no ; -- ; -- ; -- ; +; RAM:inst1|memory[42][7]~598 ; LCCOMB_X11_Y9_N22 ; 8 ; Clock enable ; no ; -- ; -- ; -- ; +; RAM:inst1|memory[43][15]~564 ; LCCOMB_X15_Y29_N26 ; 8 ; Clock enable ; no ; -- ; -- ; -- ; +; RAM:inst1|memory[43][23]~584 ; LCCOMB_X14_Y26_N26 ; 8 ; Clock enable ; no ; -- ; -- ; -- ; +; RAM:inst1|memory[43][31]~622 ; LCCOMB_X37_Y26_N18 ; 8 ; Clock enable ; no ; -- ; -- ; -- ; +; RAM:inst1|memory[43][7]~600 ; LCCOMB_X15_Y29_N20 ; 8 ; Clock enable ; no ; -- ; -- ; -- ; +; RAM:inst1|memory[44][15]~575 ; LCCOMB_X23_Y15_N2 ; 8 ; Clock enable ; no ; -- ; -- ; -- ; +; RAM:inst1|memory[44][23]~591 ; LCCOMB_X23_Y7_N10 ; 8 ; Clock enable ; no ; -- ; -- ; -- ; +; RAM:inst1|memory[44][31]~620 ; LCCOMB_X32_Y16_N24 ; 8 ; Clock enable ; no ; -- ; -- ; -- ; +; RAM:inst1|memory[44][7]~607 ; LCCOMB_X37_Y13_N28 ; 8 ; Clock enable ; no ; -- ; -- ; -- ; +; RAM:inst1|memory[45][15]~574 ; LCCOMB_X32_Y32_N12 ; 8 ; Clock enable ; no ; -- ; -- ; -- ; +; RAM:inst1|memory[45][23]~590 ; LCCOMB_X25_Y25_N22 ; 8 ; Clock enable ; no ; -- ; -- ; -- ; +; RAM:inst1|memory[45][31]~612 ; LCCOMB_X31_Y31_N22 ; 8 ; Clock enable ; no ; -- ; -- ; -- ; +; RAM:inst1|memory[45][7]~606 ; LCCOMB_X30_Y32_N6 ; 8 ; Clock enable ; no ; -- ; -- ; -- ; +; RAM:inst1|memory[46][15]~573 ; LCCOMB_X35_Y11_N10 ; 8 ; Clock enable ; no ; -- ; -- ; -- ; +; RAM:inst1|memory[46][23]~589 ; LCCOMB_X32_Y6_N4 ; 8 ; Clock enable ; no ; -- ; -- ; -- ; +; RAM:inst1|memory[46][31]~616 ; LCCOMB_X31_Y10_N16 ; 8 ; Clock enable ; no ; -- ; -- ; -- ; +; RAM:inst1|memory[46][7]~605 ; LCCOMB_X24_Y26_N20 ; 8 ; Clock enable ; no ; -- ; -- ; -- ; +; RAM:inst1|memory[47][15]~576 ; LCCOMB_X37_Y29_N4 ; 8 ; Clock enable ; no ; -- ; -- ; -- ; +; RAM:inst1|memory[47][23]~592 ; LCCOMB_X32_Y19_N2 ; 8 ; Clock enable ; no ; -- ; -- ; -- ; +; RAM:inst1|memory[47][31]~624 ; LCCOMB_X35_Y18_N2 ; 8 ; Clock enable ; no ; -- ; -- ; -- ; +; RAM:inst1|memory[47][7]~608 ; LCCOMB_X36_Y22_N18 ; 8 ; Clock enable ; no ; -- ; -- ; -- ; +; RAM:inst1|memory[48][15]~794 ; LCCOMB_X23_Y16_N26 ; 8 ; Clock enable ; no ; -- ; -- ; -- ; +; RAM:inst1|memory[48][23]~793 ; LCCOMB_X19_Y22_N18 ; 8 ; Clock enable ; no ; -- ; -- ; -- ; +; RAM:inst1|memory[48][31]~796 ; LCCOMB_X23_Y9_N14 ; 8 ; Clock enable ; no ; -- ; -- ; -- ; +; RAM:inst1|memory[48][7]~795 ; LCCOMB_X16_Y20_N0 ; 8 ; Clock enable ; no ; -- ; -- ; -- ; +; RAM:inst1|memory[49][15]~789 ; LCCOMB_X30_Y27_N24 ; 8 ; Clock enable ; no ; -- ; -- ; -- ; +; RAM:inst1|memory[49][23]~790 ; LCCOMB_X19_Y22_N20 ; 8 ; Clock enable ; no ; -- ; -- ; -- ; +; RAM:inst1|memory[49][31]~792 ; LCCOMB_X24_Y27_N6 ; 8 ; Clock enable ; no ; -- ; -- ; -- ; +; RAM:inst1|memory[49][7]~791 ; LCCOMB_X17_Y27_N22 ; 8 ; Clock enable ; no ; -- ; -- ; -- ; +; RAM:inst1|memory[4][15]~707 ; LCCOMB_X41_Y19_N24 ; 8 ; Clock enable ; no ; -- ; -- ; -- ; +; RAM:inst1|memory[4][23]~695 ; LCCOMB_X36_Y14_N8 ; 8 ; Clock enable ; no ; -- ; -- ; -- ; +; RAM:inst1|memory[4][31]~739 ; LCCOMB_X44_Y10_N24 ; 8 ; Clock enable ; no ; -- ; -- ; -- ; +; RAM:inst1|memory[4][7]~727 ; LCCOMB_X43_Y15_N12 ; 8 ; Clock enable ; no ; -- ; -- ; -- ; +; RAM:inst1|memory[50][15]~786 ; LCCOMB_X29_Y8_N26 ; 8 ; Clock enable ; no ; -- ; -- ; -- ; +; RAM:inst1|memory[50][23]~785 ; LCCOMB_X20_Y5_N30 ; 8 ; Clock enable ; no ; -- ; -- ; -- ; +; RAM:inst1|memory[50][31]~788 ; LCCOMB_X24_Y5_N14 ; 8 ; Clock enable ; no ; -- ; -- ; -- ; +; RAM:inst1|memory[50][7]~787 ; LCCOMB_X21_Y5_N2 ; 8 ; Clock enable ; no ; -- ; -- ; -- ; +; RAM:inst1|memory[51][15]~797 ; LCCOMB_X28_Y23_N16 ; 8 ; Clock enable ; no ; -- ; -- ; -- ; +; RAM:inst1|memory[51][23]~798 ; LCCOMB_X35_Y19_N22 ; 8 ; Clock enable ; no ; -- ; -- ; -- ; +; RAM:inst1|memory[51][31]~800 ; LCCOMB_X24_Y21_N6 ; 8 ; Clock enable ; no ; -- ; -- ; -- ; +; RAM:inst1|memory[51][7]~799 ; LCCOMB_X24_Y17_N26 ; 8 ; Clock enable ; no ; -- ; -- ; -- ; +; RAM:inst1|memory[52][15]~762 ; LCCOMB_X41_Y19_N14 ; 8 ; Clock enable ; no ; -- ; -- ; -- ; +; RAM:inst1|memory[52][23]~761 ; LCCOMB_X41_Y14_N30 ; 8 ; Clock enable ; no ; -- ; -- ; -- ; +; RAM:inst1|memory[52][31]~764 ; LCCOMB_X45_Y14_N26 ; 8 ; Clock enable ; no ; -- ; -- ; -- ; +; RAM:inst1|memory[52][7]~763 ; LCCOMB_X44_Y15_N10 ; 8 ; Clock enable ; no ; -- ; -- ; -- ; +; RAM:inst1|memory[53][15]~753 ; LCCOMB_X46_Y26_N2 ; 8 ; Clock enable ; no ; -- ; -- ; -- ; +; RAM:inst1|memory[53][23]~754 ; LCCOMB_X18_Y15_N10 ; 8 ; Clock enable ; no ; -- ; -- ; -- ; +; RAM:inst1|memory[53][31]~756 ; LCCOMB_X31_Y29_N22 ; 8 ; Clock enable ; no ; -- ; -- ; -- ; +; RAM:inst1|memory[53][7]~755 ; LCCOMB_X31_Y28_N2 ; 8 ; Clock enable ; no ; -- ; -- ; -- ; +; RAM:inst1|memory[54][15]~758 ; LCCOMB_X48_Y8_N22 ; 8 ; Clock enable ; no ; -- ; -- ; -- ; +; RAM:inst1|memory[54][23]~757 ; LCCOMB_X44_Y5_N8 ; 8 ; Clock enable ; no ; -- ; -- ; -- ; +; RAM:inst1|memory[54][31]~760 ; LCCOMB_X45_Y14_N24 ; 8 ; Clock enable ; no ; -- ; -- ; -- ; +; RAM:inst1|memory[54][7]~759 ; LCCOMB_X44_Y5_N18 ; 8 ; Clock enable ; no ; -- ; -- ; -- ; +; RAM:inst1|memory[55][15]~765 ; LCCOMB_X47_Y25_N2 ; 8 ; Clock enable ; no ; -- ; -- ; -- ; +; RAM:inst1|memory[55][23]~766 ; LCCOMB_X40_Y12_N6 ; 8 ; Clock enable ; no ; -- ; -- ; -- ; +; RAM:inst1|memory[55][31]~768 ; LCCOMB_X29_Y25_N28 ; 8 ; Clock enable ; no ; -- ; -- ; -- ; +; RAM:inst1|memory[55][7]~767 ; LCCOMB_X44_Y19_N14 ; 8 ; Clock enable ; no ; -- ; -- ; -- ; +; RAM:inst1|memory[56][15]~778 ; LCCOMB_X11_Y22_N6 ; 8 ; Clock enable ; no ; -- ; -- ; -- ; +; RAM:inst1|memory[56][23]~777 ; LCCOMB_X11_Y12_N6 ; 8 ; Clock enable ; no ; -- ; -- ; -- ; +; RAM:inst1|memory[56][31]~780 ; LCCOMB_X10_Y15_N10 ; 8 ; Clock enable ; no ; -- ; -- ; -- ; +; RAM:inst1|memory[56][7]~779 ; LCCOMB_X9_Y13_N30 ; 8 ; Clock enable ; no ; -- ; -- ; -- ; +; RAM:inst1|memory[57][15]~773 ; LCCOMB_X9_Y24_N10 ; 8 ; Clock enable ; no ; -- ; -- ; -- ; +; RAM:inst1|memory[57][23]~774 ; LCCOMB_X15_Y27_N30 ; 8 ; Clock enable ; no ; -- ; -- ; -- ; +; RAM:inst1|memory[57][31]~776 ; LCCOMB_X9_Y25_N22 ; 8 ; Clock enable ; no ; -- ; -- ; -- ; +; RAM:inst1|memory[57][7]~775 ; LCCOMB_X9_Y25_N4 ; 8 ; Clock enable ; no ; -- ; -- ; -- ; +; RAM:inst1|memory[58][15]~770 ; LCCOMB_X29_Y25_N26 ; 8 ; Clock enable ; no ; -- ; -- ; -- ; +; RAM:inst1|memory[58][23]~769 ; LCCOMB_X17_Y5_N20 ; 8 ; Clock enable ; no ; -- ; -- ; -- ; +; RAM:inst1|memory[58][31]~772 ; LCCOMB_X19_Y5_N22 ; 8 ; Clock enable ; no ; -- ; -- ; -- ; +; RAM:inst1|memory[58][7]~771 ; LCCOMB_X18_Y5_N0 ; 8 ; Clock enable ; no ; -- ; -- ; -- ; +; RAM:inst1|memory[59][15]~781 ; LCCOMB_X23_Y23_N10 ; 8 ; Clock enable ; no ; -- ; -- ; -- ; +; RAM:inst1|memory[59][23]~782 ; LCCOMB_X15_Y9_N28 ; 8 ; Clock enable ; no ; -- ; -- ; -- ; +; RAM:inst1|memory[59][31]~784 ; LCCOMB_X17_Y15_N2 ; 8 ; Clock enable ; no ; -- ; -- ; -- ; +; RAM:inst1|memory[59][7]~783 ; LCCOMB_X25_Y15_N28 ; 8 ; Clock enable ; no ; -- ; -- ; -- ; +; RAM:inst1|memory[5][15]~706 ; LCCOMB_X47_Y27_N26 ; 8 ; Clock enable ; no ; -- ; -- ; -- ; +; RAM:inst1|memory[5][23]~694 ; LCCOMB_X15_Y30_N6 ; 8 ; Clock enable ; no ; -- ; -- ; -- ; +; RAM:inst1|memory[5][31]~738 ; LCCOMB_X31_Y10_N18 ; 8 ; Clock enable ; no ; -- ; -- ; -- ; +; RAM:inst1|memory[5][7]~726 ; LCCOMB_X34_Y32_N22 ; 8 ; Clock enable ; no ; -- ; -- ; -- ; +; RAM:inst1|memory[60][15]~807 ; LCCOMB_X36_Y21_N8 ; 8 ; Clock enable ; no ; -- ; -- ; -- ; +; RAM:inst1|memory[60][23]~803 ; LCCOMB_X28_Y14_N0 ; 8 ; Clock enable ; no ; -- ; -- ; -- ; +; RAM:inst1|memory[60][31]~815 ; LCCOMB_X32_Y16_N20 ; 8 ; Clock enable ; no ; -- ; -- ; -- ; +; RAM:inst1|memory[60][7]~811 ; LCCOMB_X31_Y16_N14 ; 8 ; Clock enable ; no ; -- ; -- ; -- ; +; RAM:inst1|memory[61][15]~806 ; LCCOMB_X31_Y32_N6 ; 8 ; Clock enable ; no ; -- ; -- ; -- ; +; RAM:inst1|memory[61][23]~801 ; LCCOMB_X25_Y25_N14 ; 8 ; Clock enable ; no ; -- ; -- ; -- ; +; RAM:inst1|memory[61][31]~814 ; LCCOMB_X20_Y28_N2 ; 8 ; Clock enable ; no ; -- ; -- ; -- ; +; RAM:inst1|memory[61][7]~809 ; LCCOMB_X29_Y25_N20 ; 8 ; Clock enable ; no ; -- ; -- ; -- ; +; RAM:inst1|memory[62][15]~805 ; LCCOMB_X35_Y8_N18 ; 8 ; Clock enable ; no ; -- ; -- ; -- ; +; RAM:inst1|memory[62][23]~802 ; LCCOMB_X32_Y6_N2 ; 8 ; Clock enable ; no ; -- ; -- ; -- ; +; RAM:inst1|memory[62][31]~813 ; LCCOMB_X34_Y6_N16 ; 8 ; Clock enable ; no ; -- ; -- ; -- ; +; RAM:inst1|memory[62][7]~810 ; LCCOMB_X24_Y26_N10 ; 8 ; Clock enable ; no ; -- ; -- ; -- ; +; RAM:inst1|memory[63][15]~808 ; LCCOMB_X35_Y23_N30 ; 8 ; Clock enable ; no ; -- ; -- ; -- ; +; RAM:inst1|memory[63][23]~804 ; LCCOMB_X26_Y15_N14 ; 8 ; Clock enable ; no ; -- ; -- ; -- ; +; RAM:inst1|memory[63][31]~816 ; LCCOMB_X31_Y17_N14 ; 8 ; Clock enable ; no ; -- ; -- ; -- ; +; RAM:inst1|memory[63][7]~812 ; LCCOMB_X31_Y16_N8 ; 8 ; Clock enable ; no ; -- ; -- ; -- ; +; RAM:inst1|memory[64][15]~459 ; LCCOMB_X27_Y23_N26 ; 8 ; Clock enable ; no ; -- ; -- ; -- ; +; RAM:inst1|memory[64][23]~443 ; LCCOMB_X30_Y15_N18 ; 8 ; Clock enable ; no ; -- ; -- ; -- ; +; RAM:inst1|memory[64][31]~491 ; LCCOMB_X28_Y17_N22 ; 8 ; Clock enable ; no ; -- ; -- ; -- ; +; RAM:inst1|memory[64][7]~475 ; LCCOMB_X27_Y24_N16 ; 8 ; Clock enable ; no ; -- ; -- ; -- ; +; RAM:inst1|memory[65][15]~403 ; LCCOMB_X41_Y27_N24 ; 8 ; Clock enable ; no ; -- ; -- ; -- ; +; RAM:inst1|memory[65][23]~407 ; LCCOMB_X15_Y27_N12 ; 8 ; Clock enable ; no ; -- ; -- ; -- ; +; RAM:inst1|memory[65][31]~415 ; LCCOMB_X25_Y27_N24 ; 8 ; Clock enable ; no ; -- ; -- ; -- ; +; RAM:inst1|memory[65][7]~411 ; LCCOMB_X21_Y26_N22 ; 8 ; Clock enable ; no ; -- ; -- ; -- ; +; RAM:inst1|memory[66][15]~315 ; LCCOMB_X24_Y9_N12 ; 8 ; Clock enable ; no ; -- ; -- ; -- ; +; RAM:inst1|memory[66][23]~331 ; LCCOMB_X27_Y4_N26 ; 8 ; Clock enable ; no ; -- ; -- ; -- ; +; RAM:inst1|memory[66][31]~363 ; LCCOMB_X25_Y5_N2 ; 8 ; Clock enable ; no ; -- ; -- ; -- ; +; RAM:inst1|memory[66][7]~347 ; LCCOMB_X24_Y9_N6 ; 8 ; Clock enable ; no ; -- ; -- ; -- ; +; RAM:inst1|memory[67][15]~531 ; LCCOMB_X41_Y25_N2 ; 8 ; Clock enable ; no ; -- ; -- ; -- ; +; RAM:inst1|memory[67][23]~535 ; LCCOMB_X28_Y19_N30 ; 8 ; Clock enable ; no ; -- ; -- ; -- ; +; RAM:inst1|memory[67][31]~543 ; LCCOMB_X32_Y22_N2 ; 8 ; Clock enable ; no ; -- ; -- ; -- ; +; RAM:inst1|memory[67][7]~539 ; LCCOMB_X20_Y22_N16 ; 8 ; Clock enable ; no ; -- ; -- ; -- ; +; RAM:inst1|memory[68][15]~451 ; LCCOMB_X48_Y21_N14 ; 8 ; Clock enable ; no ; -- ; -- ; -- ; +; RAM:inst1|memory[68][23]~439 ; LCCOMB_X43_Y14_N22 ; 8 ; Clock enable ; no ; -- ; -- ; -- ; +; RAM:inst1|memory[68][31]~483 ; LCCOMB_X16_Y18_N2 ; 8 ; Clock enable ; no ; -- ; -- ; -- ; +; RAM:inst1|memory[68][7]~471 ; LCCOMB_X43_Y15_N16 ; 8 ; Clock enable ; no ; -- ; -- ; -- ; +; RAM:inst1|memory[69][15]~391 ; LCCOMB_X40_Y24_N0 ; 8 ; Clock enable ; no ; -- ; -- ; -- ; +; RAM:inst1|memory[69][23]~387 ; LCCOMB_X24_Y23_N14 ; 8 ; Clock enable ; no ; -- ; -- ; -- ; +; RAM:inst1|memory[69][31]~399 ; LCCOMB_X28_Y29_N0 ; 8 ; Clock enable ; no ; -- ; -- ; -- ; +; RAM:inst1|memory[69][7]~395 ; LCCOMB_X28_Y30_N10 ; 8 ; Clock enable ; no ; -- ; -- ; -- ; +; RAM:inst1|memory[6][15]~705 ; LCCOMB_X47_Y6_N22 ; 8 ; Clock enable ; no ; -- ; -- ; -- ; +; RAM:inst1|memory[6][23]~693 ; LCCOMB_X43_Y4_N2 ; 8 ; Clock enable ; no ; -- ; -- ; -- ; +; RAM:inst1|memory[6][31]~737 ; LCCOMB_X41_Y4_N26 ; 8 ; Clock enable ; no ; -- ; -- ; -- ; +; RAM:inst1|memory[6][7]~725 ; LCCOMB_X43_Y4_N20 ; 8 ; Clock enable ; no ; -- ; -- ; -- ; +; RAM:inst1|memory[70][15]~307 ; LCCOMB_X17_Y6_N20 ; 8 ; Clock enable ; no ; -- ; -- ; -- ; +; RAM:inst1|memory[70][23]~327 ; LCCOMB_X40_Y4_N14 ; 8 ; Clock enable ; no ; -- ; -- ; -- ; +; RAM:inst1|memory[70][31]~355 ; LCCOMB_X45_Y9_N4 ; 8 ; Clock enable ; no ; -- ; -- ; -- ; +; RAM:inst1|memory[70][7]~343 ; LCCOMB_X44_Y8_N0 ; 8 ; Clock enable ; no ; -- ; -- ; -- ; +; RAM:inst1|memory[71][15]~503 ; LCCOMB_X47_Y25_N22 ; 8 ; Clock enable ; no ; -- ; -- ; -- ; +; RAM:inst1|memory[71][23]~499 ; LCCOMB_X28_Y19_N0 ; 8 ; Clock enable ; no ; -- ; -- ; -- ; +; RAM:inst1|memory[71][31]~511 ; LCCOMB_X44_Y22_N12 ; 8 ; Clock enable ; no ; -- ; -- ; -- ; +; RAM:inst1|memory[71][7]~507 ; LCCOMB_X28_Y22_N20 ; 8 ; Clock enable ; no ; -- ; -- ; -- ; +; RAM:inst1|memory[72][15]~455 ; LCCOMB_X11_Y22_N4 ; 8 ; Clock enable ; no ; -- ; -- ; -- ; +; RAM:inst1|memory[72][23]~435 ; LCCOMB_X12_Y15_N4 ; 8 ; Clock enable ; no ; -- ; -- ; -- ; +; RAM:inst1|memory[72][31]~487 ; LCCOMB_X11_Y20_N22 ; 8 ; Clock enable ; no ; -- ; -- ; -- ; +; RAM:inst1|memory[72][7]~467 ; LCCOMB_X10_Y13_N8 ; 8 ; Clock enable ; no ; -- ; -- ; -- ; +; RAM:inst1|memory[73][15]~371 ; LCCOMB_X14_Y25_N30 ; 8 ; Clock enable ; no ; -- ; -- ; -- ; +; RAM:inst1|memory[73][23]~375 ; LCCOMB_X40_Y24_N20 ; 8 ; Clock enable ; no ; -- ; -- ; -- ; +; RAM:inst1|memory[73][31]~383 ; LCCOMB_X12_Y30_N26 ; 8 ; Clock enable ; no ; -- ; -- ; -- ; +; RAM:inst1|memory[73][7]~379 ; LCCOMB_X12_Y28_N24 ; 8 ; Clock enable ; no ; -- ; -- ; -- ; +; RAM:inst1|memory[74][15]~311 ; LCCOMB_X17_Y6_N0 ; 8 ; Clock enable ; no ; -- ; -- ; -- ; +; RAM:inst1|memory[74][23]~323 ; LCCOMB_X12_Y6_N28 ; 8 ; Clock enable ; no ; -- ; -- ; -- ; +; RAM:inst1|memory[74][31]~359 ; LCCOMB_X25_Y5_N12 ; 8 ; Clock enable ; no ; -- ; -- ; -- ; +; RAM:inst1|memory[74][7]~339 ; LCCOMB_X10_Y6_N28 ; 8 ; Clock enable ; no ; -- ; -- ; -- ; +; RAM:inst1|memory[75][15]~515 ; LCCOMB_X23_Y23_N30 ; 8 ; Clock enable ; no ; -- ; -- ; -- ; +; RAM:inst1|memory[75][23]~519 ; LCCOMB_X19_Y19_N12 ; 8 ; Clock enable ; no ; -- ; -- ; -- ; +; RAM:inst1|memory[75][31]~527 ; LCCOMB_X17_Y22_N30 ; 8 ; Clock enable ; no ; -- ; -- ; -- ; +; RAM:inst1|memory[75][7]~523 ; LCCOMB_X20_Y22_N18 ; 8 ; Clock enable ; no ; -- ; -- ; -- ; +; RAM:inst1|memory[76][15]~463 ; LCCOMB_X36_Y21_N22 ; 8 ; Clock enable ; no ; -- ; -- ; -- ; +; RAM:inst1|memory[76][23]~447 ; LCCOMB_X29_Y15_N26 ; 8 ; Clock enable ; no ; -- ; -- ; -- ; +; RAM:inst1|memory[76][31]~495 ; LCCOMB_X32_Y16_N6 ; 8 ; Clock enable ; no ; -- ; -- ; -- ; +; RAM:inst1|memory[76][7]~479 ; LCCOMB_X30_Y23_N2 ; 8 ; Clock enable ; no ; -- ; -- ; -- ; +; RAM:inst1|memory[77][15]~423 ; LCCOMB_X32_Y28_N30 ; 8 ; Clock enable ; no ; -- ; -- ; -- ; +; RAM:inst1|memory[77][23]~419 ; LCCOMB_X18_Y31_N4 ; 8 ; Clock enable ; no ; -- ; -- ; -- ; +; RAM:inst1|memory[77][31]~431 ; LCCOMB_X24_Y30_N2 ; 8 ; Clock enable ; no ; -- ; -- ; -- ; +; RAM:inst1|memory[77][7]~427 ; LCCOMB_X25_Y30_N2 ; 8 ; Clock enable ; no ; -- ; -- ; -- ; +; RAM:inst1|memory[78][15]~319 ; LCCOMB_X31_Y9_N26 ; 8 ; Clock enable ; no ; -- ; -- ; -- ; +; RAM:inst1|memory[78][23]~335 ; LCCOMB_X28_Y4_N22 ; 8 ; Clock enable ; no ; -- ; -- ; -- ; +; RAM:inst1|memory[78][31]~367 ; LCCOMB_X35_Y5_N30 ; 8 ; Clock enable ; no ; -- ; -- ; -- ; +; RAM:inst1|memory[78][7]~351 ; LCCOMB_X30_Y5_N22 ; 8 ; Clock enable ; no ; -- ; -- ; -- ; +; RAM:inst1|memory[79][15]~551 ; LCCOMB_X30_Y25_N30 ; 8 ; Clock enable ; no ; -- ; -- ; -- ; +; RAM:inst1|memory[79][23]~547 ; LCCOMB_X25_Y22_N18 ; 8 ; Clock enable ; no ; -- ; -- ; -- ; +; RAM:inst1|memory[79][31]~559 ; LCCOMB_X35_Y18_N12 ; 8 ; Clock enable ; no ; -- ; -- ; -- ; +; RAM:inst1|memory[79][7]~555 ; LCCOMB_X28_Y22_N18 ; 8 ; Clock enable ; no ; -- ; -- ; -- ; +; RAM:inst1|memory[7][15]~708 ; LCCOMB_X44_Y24_N0 ; 8 ; Clock enable ; no ; -- ; -- ; -- ; +; RAM:inst1|memory[7][23]~696 ; LCCOMB_X39_Y14_N30 ; 8 ; Clock enable ; no ; -- ; -- ; -- ; +; RAM:inst1|memory[7][31]~740 ; LCCOMB_X38_Y18_N10 ; 8 ; Clock enable ; no ; -- ; -- ; -- ; +; RAM:inst1|memory[7][7]~728 ; LCCOMB_X43_Y19_N30 ; 8 ; Clock enable ; no ; -- ; -- ; -- ; +; RAM:inst1|memory[80][15]~458 ; LCCOMB_X27_Y23_N16 ; 8 ; Clock enable ; no ; -- ; -- ; -- ; +; RAM:inst1|memory[80][23]~442 ; LCCOMB_X17_Y7_N6 ; 8 ; Clock enable ; no ; -- ; -- ; -- ; +; RAM:inst1|memory[80][31]~490 ; LCCOMB_X32_Y10_N12 ; 8 ; Clock enable ; no ; -- ; -- ; -- ; +; RAM:inst1|memory[80][7]~474 ; LCCOMB_X17_Y13_N22 ; 8 ; Clock enable ; no ; -- ; -- ; -- ; +; RAM:inst1|memory[81][15]~401 ; LCCOMB_X30_Y27_N20 ; 8 ; Clock enable ; no ; -- ; -- ; -- ; +; RAM:inst1|memory[81][23]~406 ; LCCOMB_X14_Y11_N18 ; 8 ; Clock enable ; no ; -- ; -- ; -- ; +; RAM:inst1|memory[81][31]~413 ; LCCOMB_X21_Y24_N28 ; 8 ; Clock enable ; no ; -- ; -- ; -- ; +; RAM:inst1|memory[81][7]~410 ; LCCOMB_X21_Y26_N12 ; 8 ; Clock enable ; no ; -- ; -- ; -- ; +; RAM:inst1|memory[82][15]~314 ; LCCOMB_X18_Y8_N0 ; 8 ; Clock enable ; no ; -- ; -- ; -- ; +; RAM:inst1|memory[82][23]~330 ; LCCOMB_X40_Y8_N2 ; 8 ; Clock enable ; no ; -- ; -- ; -- ; +; RAM:inst1|memory[82][31]~362 ; LCCOMB_X25_Y4_N2 ; 8 ; Clock enable ; no ; -- ; -- ; -- ; +; RAM:inst1|memory[82][7]~346 ; LCCOMB_X24_Y7_N26 ; 8 ; Clock enable ; no ; -- ; -- ; -- ; +; RAM:inst1|memory[83][15]~529 ; LCCOMB_X28_Y26_N10 ; 8 ; Clock enable ; no ; -- ; -- ; -- ; +; RAM:inst1|memory[83][23]~534 ; LCCOMB_X20_Y7_N10 ; 8 ; Clock enable ; no ; -- ; -- ; -- ; +; RAM:inst1|memory[83][31]~541 ; LCCOMB_X20_Y15_N10 ; 8 ; Clock enable ; no ; -- ; -- ; -- ; +; RAM:inst1|memory[83][7]~538 ; LCCOMB_X24_Y17_N22 ; 8 ; Clock enable ; no ; -- ; -- ; -- ; +; RAM:inst1|memory[84][15]~449 ; LCCOMB_X41_Y19_N30 ; 8 ; Clock enable ; no ; -- ; -- ; -- ; +; RAM:inst1|memory[84][23]~437 ; LCCOMB_X43_Y14_N18 ; 8 ; Clock enable ; no ; -- ; -- ; -- ; +; RAM:inst1|memory[84][31]~481 ; LCCOMB_X32_Y10_N14 ; 8 ; Clock enable ; no ; -- ; -- ; -- ; +; RAM:inst1|memory[84][7]~469 ; LCCOMB_X43_Y15_N4 ; 8 ; Clock enable ; no ; -- ; -- ; -- ; +; RAM:inst1|memory[85][15]~389 ; LCCOMB_X29_Y26_N20 ; 8 ; Clock enable ; no ; -- ; -- ; -- ; +; RAM:inst1|memory[85][23]~386 ; LCCOMB_X21_Y11_N4 ; 8 ; Clock enable ; no ; -- ; -- ; -- ; +; RAM:inst1|memory[85][31]~397 ; LCCOMB_X31_Y10_N24 ; 8 ; Clock enable ; no ; -- ; -- ; -- ; +; RAM:inst1|memory[85][7]~394 ; LCCOMB_X34_Y25_N26 ; 8 ; Clock enable ; no ; -- ; -- ; -- ; +; RAM:inst1|memory[86][15]~305 ; LCCOMB_X40_Y9_N4 ; 8 ; Clock enable ; no ; -- ; -- ; -- ; +; RAM:inst1|memory[86][23]~325 ; LCCOMB_X43_Y7_N12 ; 8 ; Clock enable ; no ; -- ; -- ; -- ; +; RAM:inst1|memory[86][31]~353 ; LCCOMB_X37_Y4_N18 ; 8 ; Clock enable ; no ; -- ; -- ; -- ; +; RAM:inst1|memory[86][7]~341 ; LCCOMB_X40_Y9_N6 ; 8 ; Clock enable ; no ; -- ; -- ; -- ; +; RAM:inst1|memory[87][15]~501 ; LCCOMB_X47_Y25_N10 ; 8 ; Clock enable ; no ; -- ; -- ; -- ; +; RAM:inst1|memory[87][23]~498 ; LCCOMB_X41_Y18_N26 ; 8 ; Clock enable ; no ; -- ; -- ; -- ; +; RAM:inst1|memory[87][31]~509 ; LCCOMB_X43_Y21_N4 ; 8 ; Clock enable ; no ; -- ; -- ; -- ; +; RAM:inst1|memory[87][7]~506 ; LCCOMB_X23_Y10_N4 ; 8 ; Clock enable ; no ; -- ; -- ; -- ; +; RAM:inst1|memory[88][15]~454 ; LCCOMB_X11_Y13_N30 ; 8 ; Clock enable ; no ; -- ; -- ; -- ; +; RAM:inst1|memory[88][23]~434 ; LCCOMB_X12_Y15_N10 ; 8 ; Clock enable ; no ; -- ; -- ; -- ; +; RAM:inst1|memory[88][31]~486 ; LCCOMB_X10_Y18_N24 ; 8 ; Clock enable ; no ; -- ; -- ; -- ; +; RAM:inst1|memory[88][7]~466 ; LCCOMB_X10_Y13_N30 ; 8 ; Clock enable ; no ; -- ; -- ; -- ; +; RAM:inst1|memory[89][15]~369 ; LCCOMB_X14_Y25_N14 ; 8 ; Clock enable ; no ; -- ; -- ; -- ; +; RAM:inst1|memory[89][23]~374 ; LCCOMB_X14_Y11_N8 ; 8 ; Clock enable ; no ; -- ; -- ; -- ; +; RAM:inst1|memory[89][31]~381 ; LCCOMB_X10_Y22_N2 ; 8 ; Clock enable ; no ; -- ; -- ; -- ; +; RAM:inst1|memory[89][7]~378 ; LCCOMB_X10_Y26_N10 ; 8 ; Clock enable ; no ; -- ; -- ; -- ; +; RAM:inst1|memory[8][15]~711 ; LCCOMB_X12_Y12_N2 ; 8 ; Clock enable ; no ; -- ; -- ; -- ; +; RAM:inst1|memory[8][23]~691 ; LCCOMB_X27_Y16_N18 ; 8 ; Clock enable ; no ; -- ; -- ; -- ; +; RAM:inst1|memory[8][31]~743 ; LCCOMB_X23_Y15_N10 ; 8 ; Clock enable ; no ; -- ; -- ; -- ; +; RAM:inst1|memory[8][7]~723 ; LCCOMB_X12_Y20_N2 ; 8 ; Clock enable ; no ; -- ; -- ; -- ; +; RAM:inst1|memory[90][15]~310 ; LCCOMB_X18_Y7_N20 ; 8 ; Clock enable ; no ; -- ; -- ; -- ; +; RAM:inst1|memory[90][23]~322 ; LCCOMB_X15_Y4_N20 ; 8 ; Clock enable ; no ; -- ; -- ; -- ; +; RAM:inst1|memory[90][31]~358 ; LCCOMB_X12_Y7_N12 ; 8 ; Clock enable ; no ; -- ; -- ; -- ; +; RAM:inst1|memory[90][7]~338 ; LCCOMB_X9_Y7_N14 ; 8 ; Clock enable ; no ; -- ; -- ; -- ; +; RAM:inst1|memory[91][15]~513 ; LCCOMB_X18_Y21_N4 ; 8 ; Clock enable ; no ; -- ; -- ; -- ; +; RAM:inst1|memory[91][23]~518 ; LCCOMB_X19_Y19_N2 ; 8 ; Clock enable ; no ; -- ; -- ; -- ; +; RAM:inst1|memory[91][31]~525 ; LCCOMB_X17_Y22_N26 ; 8 ; Clock enable ; no ; -- ; -- ; -- ; +; RAM:inst1|memory[91][7]~522 ; LCCOMB_X18_Y21_N28 ; 8 ; Clock enable ; no ; -- ; -- ; -- ; +; RAM:inst1|memory[92][15]~461 ; LCCOMB_X31_Y13_N30 ; 8 ; Clock enable ; no ; -- ; -- ; -- ; +; RAM:inst1|memory[92][23]~445 ; LCCOMB_X28_Y7_N18 ; 8 ; Clock enable ; no ; -- ; -- ; -- ; +; RAM:inst1|memory[92][31]~493 ; LCCOMB_X32_Y16_N26 ; 8 ; Clock enable ; no ; -- ; -- ; -- ; +; RAM:inst1|memory[92][7]~477 ; LCCOMB_X29_Y9_N14 ; 8 ; Clock enable ; no ; -- ; -- ; -- ; +; RAM:inst1|memory[93][15]~421 ; LCCOMB_X29_Y26_N10 ; 8 ; Clock enable ; no ; -- ; -- ; -- ; +; RAM:inst1|memory[93][23]~418 ; LCCOMB_X21_Y11_N2 ; 8 ; Clock enable ; no ; -- ; -- ; -- ; +; RAM:inst1|memory[93][31]~429 ; LCCOMB_X21_Y24_N14 ; 8 ; Clock enable ; no ; -- ; -- ; -- ; +; RAM:inst1|memory[93][7]~426 ; LCCOMB_X20_Y26_N30 ; 8 ; Clock enable ; no ; -- ; -- ; -- ; +; RAM:inst1|memory[94][15]~317 ; LCCOMB_X32_Y9_N4 ; 8 ; Clock enable ; no ; -- ; -- ; -- ; +; RAM:inst1|memory[94][23]~333 ; LCCOMB_X29_Y4_N2 ; 8 ; Clock enable ; no ; -- ; -- ; -- ; +; RAM:inst1|memory[94][31]~365 ; LCCOMB_X31_Y10_N6 ; 8 ; Clock enable ; no ; -- ; -- ; -- ; +; RAM:inst1|memory[94][7]~349 ; LCCOMB_X29_Y5_N4 ; 8 ; Clock enable ; no ; -- ; -- ; -- ; +; RAM:inst1|memory[95][15]~549 ; LCCOMB_X30_Y26_N30 ; 8 ; Clock enable ; no ; -- ; -- ; -- ; +; RAM:inst1|memory[95][23]~546 ; LCCOMB_X21_Y10_N18 ; 8 ; Clock enable ; no ; -- ; -- ; -- ; +; RAM:inst1|memory[95][31]~557 ; LCCOMB_X35_Y18_N16 ; 8 ; Clock enable ; no ; -- ; -- ; -- ; +; RAM:inst1|memory[95][7]~554 ; LCCOMB_X20_Y16_N30 ; 8 ; Clock enable ; no ; -- ; -- ; -- ; +; RAM:inst1|memory[96][15]~457 ; LCCOMB_X35_Y15_N20 ; 8 ; Clock enable ; no ; -- ; -- ; -- ; +; RAM:inst1|memory[96][23]~441 ; LCCOMB_X24_Y10_N12 ; 8 ; Clock enable ; no ; -- ; -- ; -- ; +; RAM:inst1|memory[96][31]~489 ; LCCOMB_X36_Y18_N24 ; 8 ; Clock enable ; no ; -- ; -- ; -- ; +; RAM:inst1|memory[96][7]~473 ; LCCOMB_X35_Y15_N26 ; 8 ; Clock enable ; no ; -- ; -- ; -- ; +; RAM:inst1|memory[97][15]~402 ; LCCOMB_X30_Y27_N30 ; 8 ; Clock enable ; no ; -- ; -- ; -- ; +; RAM:inst1|memory[97][23]~405 ; LCCOMB_X20_Y29_N14 ; 8 ; Clock enable ; no ; -- ; -- ; -- ; +; RAM:inst1|memory[97][31]~414 ; LCCOMB_X20_Y19_N30 ; 8 ; Clock enable ; no ; -- ; -- ; -- ; +; RAM:inst1|memory[97][7]~409 ; LCCOMB_X26_Y27_N30 ; 8 ; Clock enable ; no ; -- ; -- ; -- ; +; RAM:inst1|memory[98][15]~313 ; LCCOMB_X18_Y8_N10 ; 8 ; Clock enable ; no ; -- ; -- ; -- ; +; RAM:inst1|memory[98][23]~329 ; LCCOMB_X30_Y9_N2 ; 8 ; Clock enable ; no ; -- ; -- ; -- ; +; RAM:inst1|memory[98][31]~361 ; LCCOMB_X34_Y9_N2 ; 8 ; Clock enable ; no ; -- ; -- ; -- ; +; RAM:inst1|memory[98][7]~345 ; LCCOMB_X28_Y6_N0 ; 8 ; Clock enable ; no ; -- ; -- ; -- ; +; RAM:inst1|memory[99][15]~530 ; LCCOMB_X36_Y25_N2 ; 8 ; Clock enable ; no ; -- ; -- ; -- ; +; RAM:inst1|memory[99][23]~533 ; LCCOMB_X20_Y29_N10 ; 8 ; Clock enable ; no ; -- ; -- ; -- ; +; RAM:inst1|memory[99][31]~542 ; LCCOMB_X34_Y27_N2 ; 8 ; Clock enable ; no ; -- ; -- ; -- ; +; RAM:inst1|memory[99][7]~537 ; LCCOMB_X24_Y17_N0 ; 8 ; Clock enable ; no ; -- ; -- ; -- ; +; RAM:inst1|memory[9][15]~709 ; LCCOMB_X15_Y24_N8 ; 8 ; Clock enable ; no ; -- ; -- ; -- ; +; RAM:inst1|memory[9][23]~689 ; LCCOMB_X11_Y29_N2 ; 8 ; Clock enable ; no ; -- ; -- ; -- ; +; RAM:inst1|memory[9][31]~741 ; LCCOMB_X10_Y22_N22 ; 8 ; Clock enable ; no ; -- ; -- ; -- ; +; RAM:inst1|memory[9][7]~721 ; LCCOMB_X12_Y28_N22 ; 8 ; Clock enable ; no ; -- ; -- ; -- ; +; RAM:inst1|part0[0]~0 ; LCCOMB_X20_Y30_N14 ; 12 ; Clock enable ; no ; -- ; -- ; -- ; ++--------------------------------+--------------------+---------+---------------+--------+----------------------+------------------+---------------------------+ +---------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------+ @@ -900,373 +9842,1175 @@ Note: For table entries with two numbers listed, the numbers in parentheses indi +-----------------------------------------------------------------------------------------+----------+---------+--------------------------------------+----------------------+------------------+---------------------------+ ; AlteraPLL:inst|altpll:altpll_component|AlteraPLL_altpll:auto_generated|wire_pll1_clk[0] ; PLL_1 ; 1 ; 0 ; Global Clock ; GCLK3 ; -- ; ; AlteraPLL:inst|altpll:altpll_component|AlteraPLL_altpll:auto_generated|wire_pll1_clk[1] ; PLL_1 ; 1 ; 0 ; Global Clock ; GCLK4 ; -- ; -; FPGA_CLK ; PIN_31 ; 81 ; 0 ; Global Clock ; GCLK2 ; -- ; +; FPGA_CLK ; PIN_31 ; 8249 ; 0 ; Global Clock ; GCLK2 ; -- ; +-----------------------------------------------------------------------------------------+----------+---------+--------------------------------------+----------------------+------------------+---------------------------+ -+--------------------------------------------------------------------------------------------------+ -; Non-Global High Fan-Out Signals ; -+----------------------------------------------------------------------------------------+---------+ -; Name ; Fan-Out ; -+----------------------------------------------------------------------------------------+---------+ -; RAM:inst3|stateMM0.Waiting ; 30 ; -; LedBlink:inst2|LessThan0~10 ; 24 ; -; RAM:inst3|Selector74~0 ; 16 ; -; nCE~input ; 15 ; -; RAM:inst3|ce0Prev ; 13 ; -; RAM:inst3|memory~39 ; 8 ; -; nOE~input ; 4 ; -; LedBlink:inst2|counter[16] ; 4 ; -; LedBlink:inst2|counter[15] ; 4 ; -; RAM:inst3|addr~7 ; 3 ; -; RAM:inst3|addr~6 ; 3 ; -; RAM:inst3|addr~5 ; 3 ; -; RAM:inst3|addr~4 ; 3 ; -; RAM:inst3|addr~3 ; 3 ; -; RAM:inst3|addr~2 ; 3 ; -; RAM:inst3|addr~1 ; 3 ; -; RAM:inst3|addr~0 ; 3 ; -; RAM:inst3|memory~48 ; 3 ; -; LedBlink:inst2|counter[13] ; 3 ; -; LedBlink:inst2|counter[4] ; 3 ; -; nWE~input ; 2 ; -; Data[0]~input ; 2 ; -; Data[1]~input ; 2 ; -; Data[2]~input ; 2 ; -; Data[3]~input ; 2 ; -; Data[4]~input ; 2 ; -; Data[5]~input ; 2 ; -; Data[6]~input ; 2 ; -; Data[7]~input ; 2 ; -; RAM:inst3|Selector3~2 ; 2 ; -; RAM:inst3|Selector3~1 ; 2 ; -; RAM:inst3|addr[7] ; 2 ; -; RAM:inst3|addr[6] ; 2 ; -; RAM:inst3|addr[5] ; 2 ; -; RAM:inst3|addr[4] ; 2 ; -; RAM:inst3|addr[3] ; 2 ; -; RAM:inst3|addr[2] ; 2 ; -; RAM:inst3|addr[1] ; 2 ; -; RAM:inst3|addr[0] ; 2 ; -; RAM:inst3|stateMM0.Writing ; 2 ; -; LedBlink:inst2|LessThan0~9 ; 2 ; -; LedBlink:inst2|LessThan0~6 ; 2 ; -; LedBlink:inst2|LessThan0~5 ; 2 ; -; LedBlink:inst2|LessThan0~4 ; 2 ; -; LedBlink:inst2|ledBuf ; 2 ; -; LedBlink:inst2|counter[23] ; 2 ; -; LedBlink:inst2|counter[22] ; 2 ; -; LedBlink:inst2|counter[21] ; 2 ; -; LedBlink:inst2|counter[20] ; 2 ; -; LedBlink:inst2|counter[19] ; 2 ; -; LedBlink:inst2|counter[18] ; 2 ; -; LedBlink:inst2|counter[17] ; 2 ; -; LedBlink:inst2|counter[14] ; 2 ; -; LedBlink:inst2|counter[12] ; 2 ; -; LedBlink:inst2|counter[11] ; 2 ; -; LedBlink:inst2|counter[10] ; 2 ; -; LedBlink:inst2|counter[9] ; 2 ; -; LedBlink:inst2|counter[8] ; 2 ; -; LedBlink:inst2|counter[7] ; 2 ; -; LedBlink:inst2|counter[6] ; 2 ; -; LedBlink:inst2|counter[5] ; 2 ; -; LedBlink:inst2|counter[3] ; 2 ; -; LedBlink:inst2|counter[2] ; 2 ; -; LedBlink:inst2|counter[1] ; 2 ; -; LedBlink:inst2|counter[0] ; 2 ; -; Address[7]~input ; 1 ; -; Address[6]~input ; 1 ; -; Address[5]~input ; 1 ; -; Address[4]~input ; 1 ; -; Address[3]~input ; 1 ; -; Address[2]~input ; 1 ; -; Address[1]~input ; 1 ; -; Address[0]~input ; 1 ; -; FPGA_CLK~input ; 1 ; -; RAM:inst3|stateMM0.Waiting~_wirecell ; 1 ; -; RAM:inst3|Selector3~3 ; 1 ; -; RAM:inst3|Selector2~0 ; 1 ; -; RAM:inst3|Selector3~0 ; 1 ; -; RAM:inst3|oe0Prev ; 1 ; -; RAM:inst3|Selector4~0 ; 1 ; -; RAM:inst3|we0Prev ; 1 ; -; RAM:inst3|memory~47 ; 1 ; -; RAM:inst3|memory_rtl_0_bypass[17] ; 1 ; -; RAM:inst3|memory~46 ; 1 ; -; RAM:inst3|memory_rtl_0_bypass[18] ; 1 ; -; RAM:inst3|memory~45 ; 1 ; -; RAM:inst3|memory_rtl_0_bypass[19] ; 1 ; -; RAM:inst3|memory~44 ; 1 ; -; RAM:inst3|memory_rtl_0_bypass[20] ; 1 ; -; RAM:inst3|memory~43 ; 1 ; -; RAM:inst3|memory_rtl_0_bypass[21] ; 1 ; -; RAM:inst3|memory~42 ; 1 ; -; RAM:inst3|memory_rtl_0_bypass[22] ; 1 ; -; RAM:inst3|memory~41 ; 1 ; -; RAM:inst3|memory_rtl_0_bypass[23] ; 1 ; -; RAM:inst3|stateMM0.Reading ; 1 ; -; RAM:inst3|memory~40 ; 1 ; -; RAM:inst3|memory~38 ; 1 ; -; RAM:inst3|memory_rtl_0_bypass[14] ; 1 ; -; RAM:inst3|memory_rtl_0_bypass[16] ; 1 ; -; RAM:inst3|memory_rtl_0_bypass[15] ; 1 ; -; RAM:inst3|memory_rtl_0_bypass[13] ; 1 ; -; RAM:inst3|memory~37 ; 1 ; -; RAM:inst3|memory_rtl_0_bypass[10] ; 1 ; -; RAM:inst3|memory_rtl_0_bypass[12] ; 1 ; -; RAM:inst3|memory_rtl_0_bypass[11] ; 1 ; -; RAM:inst3|memory_rtl_0_bypass[9] ; 1 ; -; RAM:inst3|memory~36 ; 1 ; -; RAM:inst3|memory~35 ; 1 ; -; RAM:inst3|memory_rtl_0_bypass[6] ; 1 ; -; RAM:inst3|memory_rtl_0_bypass[8] ; 1 ; -; RAM:inst3|memory_rtl_0_bypass[7] ; 1 ; -; RAM:inst3|memory_rtl_0_bypass[5] ; 1 ; -; RAM:inst3|memory~34 ; 1 ; -; RAM:inst3|memory_rtl_0_bypass[2] ; 1 ; -; RAM:inst3|memory_rtl_0_bypass[4] ; 1 ; -; RAM:inst3|memory_rtl_0_bypass[3] ; 1 ; -; RAM:inst3|memory_rtl_0_bypass[1] ; 1 ; -; RAM:inst3|memory_rtl_0_bypass[0] ; 1 ; -; RAM:inst3|memory_rtl_0_bypass[24] ; 1 ; -; RAM:inst3|data0[0]~en ; 1 ; -; RAM:inst3|data0[0]~reg0 ; 1 ; -; RAM:inst3|data0[1]~en ; 1 ; -; RAM:inst3|data0[1]~reg0 ; 1 ; -; RAM:inst3|data0[2]~en ; 1 ; -; RAM:inst3|data0[2]~reg0 ; 1 ; -; RAM:inst3|data0[3]~en ; 1 ; -; RAM:inst3|data0[3]~reg0 ; 1 ; -; RAM:inst3|data0[4]~en ; 1 ; -; RAM:inst3|data0[4]~reg0 ; 1 ; -; RAM:inst3|data0[5]~en ; 1 ; -; RAM:inst3|data0[5]~reg0 ; 1 ; -; RAM:inst3|data0[6]~en ; 1 ; -; RAM:inst3|data0[6]~reg0 ; 1 ; -; RAM:inst3|data0[7]~en ; 1 ; -; RAM:inst3|data0[7]~reg0 ; 1 ; -; LedBlink:inst2|ledBuf~0 ; 1 ; -; LedBlink:inst2|LessThan0~8 ; 1 ; -; LedBlink:inst2|LessThan0~7 ; 1 ; -; LedBlink:inst2|LessThan0~3 ; 1 ; -; LedBlink:inst2|LessThan0~2 ; 1 ; -; LedBlink:inst2|LessThan0~1 ; 1 ; -; LedBlink:inst2|LessThan0~0 ; 1 ; -; RAM:inst3|altsyncram:memory_rtl_0|altsyncram_8bi1:auto_generated|ram_block1a1 ; 1 ; -; RAM:inst3|altsyncram:memory_rtl_0|altsyncram_8bi1:auto_generated|ram_block1a2 ; 1 ; -; RAM:inst3|altsyncram:memory_rtl_0|altsyncram_8bi1:auto_generated|ram_block1a3 ; 1 ; -; RAM:inst3|altsyncram:memory_rtl_0|altsyncram_8bi1:auto_generated|ram_block1a4 ; 1 ; -; RAM:inst3|altsyncram:memory_rtl_0|altsyncram_8bi1:auto_generated|ram_block1a5 ; 1 ; -; RAM:inst3|altsyncram:memory_rtl_0|altsyncram_8bi1:auto_generated|ram_block1a6 ; 1 ; -; RAM:inst3|altsyncram:memory_rtl_0|altsyncram_8bi1:auto_generated|ram_block1a7 ; 1 ; -; RAM:inst3|altsyncram:memory_rtl_0|altsyncram_8bi1:auto_generated|ram_block1a0 ; 1 ; -; LedBlink:inst2|counter[23]~70 ; 1 ; -; LedBlink:inst2|counter[22]~69 ; 1 ; -; LedBlink:inst2|counter[22]~68 ; 1 ; -; LedBlink:inst2|counter[21]~67 ; 1 ; -; LedBlink:inst2|counter[21]~66 ; 1 ; -; LedBlink:inst2|counter[20]~65 ; 1 ; -; LedBlink:inst2|counter[20]~64 ; 1 ; -; LedBlink:inst2|counter[19]~63 ; 1 ; -; LedBlink:inst2|counter[19]~62 ; 1 ; -; LedBlink:inst2|counter[18]~61 ; 1 ; -; LedBlink:inst2|counter[18]~60 ; 1 ; -; LedBlink:inst2|counter[17]~59 ; 1 ; -; LedBlink:inst2|counter[17]~58 ; 1 ; -; LedBlink:inst2|counter[16]~57 ; 1 ; -; LedBlink:inst2|counter[16]~56 ; 1 ; -; LedBlink:inst2|counter[15]~55 ; 1 ; -; LedBlink:inst2|counter[15]~54 ; 1 ; -; LedBlink:inst2|counter[14]~53 ; 1 ; -; LedBlink:inst2|counter[14]~52 ; 1 ; -; LedBlink:inst2|counter[13]~51 ; 1 ; -; LedBlink:inst2|counter[13]~50 ; 1 ; -; LedBlink:inst2|counter[12]~49 ; 1 ; -; LedBlink:inst2|counter[12]~48 ; 1 ; -; LedBlink:inst2|counter[11]~47 ; 1 ; -; LedBlink:inst2|counter[11]~46 ; 1 ; -; LedBlink:inst2|counter[10]~45 ; 1 ; -; LedBlink:inst2|counter[10]~44 ; 1 ; -; LedBlink:inst2|counter[9]~43 ; 1 ; -; LedBlink:inst2|counter[9]~42 ; 1 ; -; LedBlink:inst2|counter[8]~41 ; 1 ; -; LedBlink:inst2|counter[8]~40 ; 1 ; -; LedBlink:inst2|counter[7]~39 ; 1 ; -; LedBlink:inst2|counter[7]~38 ; 1 ; -; LedBlink:inst2|counter[6]~37 ; 1 ; -; LedBlink:inst2|counter[6]~36 ; 1 ; -; LedBlink:inst2|counter[5]~35 ; 1 ; -; LedBlink:inst2|counter[5]~34 ; 1 ; -; LedBlink:inst2|counter[4]~33 ; 1 ; -; LedBlink:inst2|counter[4]~32 ; 1 ; -; LedBlink:inst2|counter[3]~31 ; 1 ; -; LedBlink:inst2|counter[3]~30 ; 1 ; -; LedBlink:inst2|counter[2]~29 ; 1 ; -; LedBlink:inst2|counter[2]~28 ; 1 ; -; LedBlink:inst2|counter[1]~27 ; 1 ; -; LedBlink:inst2|counter[1]~26 ; 1 ; -; LedBlink:inst2|counter[0]~25 ; 1 ; -; LedBlink:inst2|counter[0]~24 ; 1 ; -; AlteraPLL:inst|altpll:altpll_component|AlteraPLL_altpll:auto_generated|wire_pll1_fbout ; 1 ; -+----------------------------------------------------------------------------------------+---------+ ++------------------------------------------+ +; Non-Global High Fan-Out Signals ; ++--------------------------------+---------+ +; Name ; Fan-Out ; ++--------------------------------+---------+ +; Data[7]~input ; 1025 ; +; Data[0]~input ; 1024 ; +; Data[1]~input ; 1024 ; +; Data[2]~input ; 1024 ; +; Data[3]~input ; 1024 ; +; Data[4]~input ; 1024 ; +; Data[5]~input ; 1024 ; +; RAM:inst1|part0[1] ; 895 ; +; RAM:inst1|part0[0] ; 894 ; +; RAM:inst1|addr0[6] ; 856 ; +; RAM:inst1|addr0[7] ; 856 ; +; RAM:inst1|addr0[3] ; 843 ; +; RAM:inst1|addr0[2] ; 842 ; +; RAM:inst1|addr0[1] ; 820 ; +; RAM:inst1|addr0[0] ; 820 ; +; RAM:inst1|addr0[4] ; 793 ; +; RAM:inst1|addr0[5] ; 793 ; +; Data[6]~input ; 769 ; +; RAM:inst1|memory~1073 ; 256 ; +; RAM:inst1|memory[169][31]~60 ; 256 ; +; RAM:inst1|Decoder0~31 ; 64 ; +; RAM:inst1|Decoder0~30 ; 64 ; +; RAM:inst1|Decoder0~29 ; 64 ; +; RAM:inst1|Decoder0~28 ; 64 ; +; RAM:inst1|Decoder0~27 ; 64 ; +; RAM:inst1|Decoder0~26 ; 64 ; +; RAM:inst1|Decoder0~25 ; 64 ; +; RAM:inst1|Decoder0~24 ; 64 ; +; RAM:inst1|Decoder0~23 ; 64 ; +; RAM:inst1|Decoder0~22 ; 64 ; +; RAM:inst1|Decoder0~21 ; 64 ; +; RAM:inst1|Decoder0~20 ; 64 ; +; RAM:inst1|Decoder0~7 ; 64 ; +; RAM:inst1|Decoder0~6 ; 64 ; +; RAM:inst1|Decoder0~5 ; 64 ; +; RAM:inst1|Decoder0~0 ; 64 ; +; RAM:inst1|Selector28~0 ; 51 ; +; LedBlink:inst2|LessThan0~7 ; 25 ; +; RAM:inst1|Decoder0~19 ; 19 ; +; RAM:inst1|Decoder0~18 ; 19 ; +; RAM:inst1|Decoder0~17 ; 19 ; +; RAM:inst1|Decoder0~16 ; 19 ; +; RAM:inst1|Decoder0~15 ; 19 ; +; RAM:inst1|Decoder0~14 ; 19 ; +; RAM:inst1|Decoder0~13 ; 19 ; +; RAM:inst1|Decoder0~12 ; 19 ; +; RAM:inst1|Decoder0~11 ; 19 ; +; RAM:inst1|Decoder0~10 ; 19 ; +; RAM:inst1|Decoder0~9 ; 19 ; +; RAM:inst1|Decoder0~8 ; 19 ; +; RAM:inst1|Decoder0~4 ; 19 ; +; RAM:inst1|Decoder0~3 ; 19 ; +; RAM:inst1|Decoder0~2 ; 19 ; +; RAM:inst1|Decoder0~1 ; 19 ; +; RAM:inst1|memory[159][7]~275 ; 16 ; +; RAM:inst1|memory[172][7]~273 ; 16 ; +; RAM:inst1|memory[174][7]~271 ; 16 ; +; RAM:inst1|memory[157][7]~269 ; 16 ; +; RAM:inst1|memory[159][23]~255 ; 16 ; +; RAM:inst1|memory[172][23]~253 ; 16 ; +; RAM:inst1|memory[174][23]~251 ; 16 ; +; RAM:inst1|memory[157][23]~249 ; 16 ; +; RAM:inst1|memory[159][15]~244 ; 16 ; +; RAM:inst1|memory[172][15]~239 ; 16 ; +; RAM:inst1|memory[157][15]~234 ; 16 ; +; RAM:inst1|memory[174][15]~229 ; 16 ; +; RAM:inst1|memory[163][7]~199 ; 16 ; +; RAM:inst1|memory[160][7]~197 ; 16 ; +; RAM:inst1|memory[162][7]~195 ; 16 ; +; RAM:inst1|memory[161][7]~193 ; 16 ; +; RAM:inst1|memory[163][15]~179 ; 16 ; +; RAM:inst1|memory[160][15]~177 ; 16 ; +; RAM:inst1|memory[161][15]~175 ; 16 ; +; RAM:inst1|memory[162][15]~173 ; 16 ; +; RAM:inst1|memory[163][23]~159 ; 16 ; +; RAM:inst1|memory[160][23]~157 ; 16 ; +; RAM:inst1|memory[162][23]~155 ; 16 ; +; RAM:inst1|memory[161][23]~153 ; 16 ; +; RAM:inst1|memory[151][7]~123 ; 16 ; +; RAM:inst1|memory[164][7]~121 ; 16 ; +; RAM:inst1|memory[166][7]~119 ; 16 ; +; RAM:inst1|memory[149][7]~117 ; 16 ; +; RAM:inst1|memory[151][23]~103 ; 16 ; +; RAM:inst1|memory[164][23]~101 ; 16 ; +; RAM:inst1|memory[166][23]~99 ; 16 ; +; RAM:inst1|memory[149][23]~97 ; 16 ; +; RAM:inst1|memory[151][15]~92 ; 16 ; +; RAM:inst1|memory[164][15]~87 ; 16 ; +; RAM:inst1|memory[149][15]~82 ; 16 ; +; RAM:inst1|memory[166][15]~77 ; 16 ; +; RAM:inst1|memory[171][7]~46 ; 16 ; +; RAM:inst1|memory[168][7]~44 ; 16 ; +; RAM:inst1|memory[170][7]~42 ; 16 ; +; RAM:inst1|memory[169][7]~40 ; 16 ; +; RAM:inst1|memory[171][15]~35 ; 16 ; +; RAM:inst1|memory[168][15]~30 ; 16 ; +; RAM:inst1|memory[169][15]~25 ; 16 ; +; RAM:inst1|memory[170][15]~20 ; 16 ; +; RAM:inst1|memory[171][23]~6 ; 16 ; +; RAM:inst1|memory[168][23]~4 ; 16 ; +; RAM:inst1|memory[170][23]~2 ; 16 ; +; RAM:inst1|memory[169][23]~0 ; 16 ; +; RAM:inst1|Selector76~0 ; 16 ; +; RAM:inst1|stateMM0.Waiting ; 14 ; +; RAM:inst1|part0[0]~0 ; 12 ; +; RAM:inst1|memory[255][31]~1072 ; 8 ; +; RAM:inst1|memory[243][31]~1071 ; 8 ; +; RAM:inst1|memory[247][31]~1070 ; 8 ; +; RAM:inst1|memory[251][31]~1069 ; 8 ; +; RAM:inst1|memory[252][31]~1068 ; 8 ; +; RAM:inst1|memory[240][31]~1067 ; 8 ; +; RAM:inst1|memory[248][31]~1066 ; 8 ; +; RAM:inst1|memory[244][31]~1065 ; 8 ; +; RAM:inst1|memory[254][31]~1064 ; 8 ; +; RAM:inst1|memory[242][31]~1063 ; 8 ; +; RAM:inst1|memory[250][31]~1062 ; 8 ; +; RAM:inst1|memory[246][31]~1061 ; 8 ; +; RAM:inst1|memory[253][31]~1060 ; 8 ; +; RAM:inst1|memory[241][31]~1059 ; 8 ; +; RAM:inst1|memory[245][31]~1058 ; 8 ; +; RAM:inst1|memory[249][31]~1057 ; 8 ; +; RAM:inst1|memory[255][7]~1056 ; 8 ; +; RAM:inst1|memory[243][7]~1055 ; 8 ; +; RAM:inst1|memory[247][7]~1054 ; 8 ; +; RAM:inst1|memory[251][7]~1053 ; 8 ; +; RAM:inst1|memory[252][7]~1052 ; 8 ; +; RAM:inst1|memory[240][7]~1051 ; 8 ; +; RAM:inst1|memory[248][7]~1050 ; 8 ; +; RAM:inst1|memory[244][7]~1049 ; 8 ; +; RAM:inst1|memory[253][7]~1048 ; 8 ; +; RAM:inst1|memory[241][7]~1047 ; 8 ; +; RAM:inst1|memory[245][7]~1046 ; 8 ; +; RAM:inst1|memory[249][7]~1045 ; 8 ; +; RAM:inst1|memory[254][7]~1044 ; 8 ; +; RAM:inst1|memory[242][7]~1043 ; 8 ; +; RAM:inst1|memory[250][7]~1042 ; 8 ; +; RAM:inst1|memory[246][7]~1041 ; 8 ; +; RAM:inst1|memory[255][23]~1040 ; 8 ; +; RAM:inst1|memory[243][23]~1039 ; 8 ; +; RAM:inst1|memory[247][23]~1038 ; 8 ; +; RAM:inst1|memory[251][23]~1037 ; 8 ; +; RAM:inst1|memory[252][23]~1036 ; 8 ; +; RAM:inst1|memory[240][23]~1035 ; 8 ; +; RAM:inst1|memory[248][23]~1034 ; 8 ; +; RAM:inst1|memory[244][23]~1033 ; 8 ; +; RAM:inst1|memory[253][23]~1032 ; 8 ; +; RAM:inst1|memory[241][23]~1031 ; 8 ; +; RAM:inst1|memory[245][23]~1030 ; 8 ; +; RAM:inst1|memory[249][23]~1029 ; 8 ; +; RAM:inst1|memory[254][23]~1028 ; 8 ; +; RAM:inst1|memory[242][23]~1027 ; 8 ; +; RAM:inst1|memory[250][23]~1026 ; 8 ; +; RAM:inst1|memory[246][23]~1025 ; 8 ; +; RAM:inst1|memory[255][15]~1024 ; 8 ; +; RAM:inst1|memory[243][15]~1023 ; 8 ; +; RAM:inst1|memory[247][15]~1022 ; 8 ; +; RAM:inst1|memory[251][15]~1021 ; 8 ; +; RAM:inst1|memory[252][15]~1020 ; 8 ; +; RAM:inst1|memory[240][15]~1019 ; 8 ; +; RAM:inst1|memory[248][15]~1018 ; 8 ; +; RAM:inst1|memory[244][15]~1017 ; 8 ; +; RAM:inst1|memory[254][15]~1016 ; 8 ; +; RAM:inst1|memory[242][15]~1015 ; 8 ; +; RAM:inst1|memory[250][15]~1014 ; 8 ; +; RAM:inst1|memory[246][15]~1013 ; 8 ; +; RAM:inst1|memory[253][15]~1012 ; 8 ; +; RAM:inst1|memory[241][15]~1011 ; 8 ; +; RAM:inst1|memory[245][15]~1010 ; 8 ; +; RAM:inst1|memory[249][15]~1009 ; 8 ; +; RAM:inst1|memory[207][31]~1008 ; 8 ; +; RAM:inst1|memory[195][31]~1007 ; 8 ; +; RAM:inst1|memory[199][31]~1006 ; 8 ; +; RAM:inst1|memory[203][31]~1005 ; 8 ; +; RAM:inst1|memory[207][7]~1004 ; 8 ; +; RAM:inst1|memory[195][7]~1003 ; 8 ; +; RAM:inst1|memory[203][7]~1002 ; 8 ; +; RAM:inst1|memory[199][7]~1001 ; 8 ; +; RAM:inst1|memory[207][23]~1000 ; 8 ; +; RAM:inst1|memory[195][23]~999 ; 8 ; +; RAM:inst1|memory[203][23]~998 ; 8 ; +; RAM:inst1|memory[199][23]~997 ; 8 ; +; RAM:inst1|memory[207][15]~996 ; 8 ; +; RAM:inst1|memory[195][15]~995 ; 8 ; +; RAM:inst1|memory[199][15]~994 ; 8 ; +; RAM:inst1|memory[203][15]~993 ; 8 ; +; RAM:inst1|memory[204][31]~992 ; 8 ; +; RAM:inst1|memory[192][31]~991 ; 8 ; +; RAM:inst1|memory[200][31]~990 ; 8 ; +; RAM:inst1|memory[196][31]~989 ; 8 ; +; RAM:inst1|memory[204][7]~988 ; 8 ; +; RAM:inst1|memory[192][7]~987 ; 8 ; +; RAM:inst1|memory[196][7]~986 ; 8 ; +; RAM:inst1|memory[200][7]~985 ; 8 ; +; RAM:inst1|memory[204][23]~984 ; 8 ; +; RAM:inst1|memory[192][23]~983 ; 8 ; +; RAM:inst1|memory[196][23]~982 ; 8 ; +; RAM:inst1|memory[200][23]~981 ; 8 ; +; RAM:inst1|memory[204][15]~980 ; 8 ; +; RAM:inst1|memory[192][15]~979 ; 8 ; +; RAM:inst1|memory[200][15]~978 ; 8 ; +; RAM:inst1|memory[196][15]~977 ; 8 ; +; RAM:inst1|memory[206][31]~976 ; 8 ; +; RAM:inst1|memory[206][7]~975 ; 8 ; +; RAM:inst1|memory[206][15]~974 ; 8 ; +; RAM:inst1|memory[206][23]~973 ; 8 ; +; RAM:inst1|memory[194][31]~972 ; 8 ; +; RAM:inst1|memory[194][7]~971 ; 8 ; +; RAM:inst1|memory[194][23]~970 ; 8 ; +; RAM:inst1|memory[194][15]~969 ; 8 ; +; RAM:inst1|memory[198][31]~968 ; 8 ; +; RAM:inst1|memory[198][7]~967 ; 8 ; +; RAM:inst1|memory[198][15]~966 ; 8 ; +; RAM:inst1|memory[198][23]~965 ; 8 ; +; RAM:inst1|memory[202][31]~964 ; 8 ; +; RAM:inst1|memory[202][7]~963 ; 8 ; +; RAM:inst1|memory[202][23]~962 ; 8 ; +; RAM:inst1|memory[202][15]~961 ; 8 ; +; RAM:inst1|memory[205][31]~960 ; 8 ; +; RAM:inst1|memory[193][31]~959 ; 8 ; +; RAM:inst1|memory[201][31]~958 ; 8 ; +; RAM:inst1|memory[197][31]~957 ; 8 ; +; RAM:inst1|memory[205][7]~956 ; 8 ; +; RAM:inst1|memory[193][7]~955 ; 8 ; +; RAM:inst1|memory[197][7]~954 ; 8 ; +; RAM:inst1|memory[201][7]~953 ; 8 ; +; RAM:inst1|memory[205][15]~952 ; 8 ; +; RAM:inst1|memory[193][15]~951 ; 8 ; +; RAM:inst1|memory[201][15]~950 ; 8 ; +; RAM:inst1|memory[197][15]~949 ; 8 ; +; RAM:inst1|memory[205][23]~948 ; 8 ; +; RAM:inst1|memory[193][23]~947 ; 8 ; +; RAM:inst1|memory[197][23]~946 ; 8 ; +; RAM:inst1|memory[201][23]~945 ; 8 ; +; RAM:inst1|memory[239][31]~944 ; 8 ; +; RAM:inst1|memory[239][7]~943 ; 8 ; +; RAM:inst1|memory[239][23]~942 ; 8 ; +; RAM:inst1|memory[239][15]~941 ; 8 ; +; RAM:inst1|memory[227][31]~940 ; 8 ; +; RAM:inst1|memory[227][7]~939 ; 8 ; +; RAM:inst1|memory[227][15]~938 ; 8 ; +; RAM:inst1|memory[227][23]~937 ; 8 ; +; RAM:inst1|memory[231][31]~936 ; 8 ; +; RAM:inst1|memory[231][7]~935 ; 8 ; +; RAM:inst1|memory[231][23]~934 ; 8 ; +; RAM:inst1|memory[231][15]~933 ; 8 ; +; RAM:inst1|memory[235][31]~932 ; 8 ; +; RAM:inst1|memory[235][7]~931 ; 8 ; +; RAM:inst1|memory[235][15]~930 ; 8 ; +; RAM:inst1|memory[235][23]~929 ; 8 ; +; RAM:inst1|memory[236][31]~928 ; 8 ; +; RAM:inst1|memory[236][7]~927 ; 8 ; +; RAM:inst1|memory[236][23]~926 ; 8 ; +; RAM:inst1|memory[236][15]~925 ; 8 ; +; RAM:inst1|memory[224][31]~924 ; 8 ; +; RAM:inst1|memory[224][7]~923 ; 8 ; +; RAM:inst1|memory[224][15]~922 ; 8 ; +; RAM:inst1|memory[224][23]~921 ; 8 ; +; RAM:inst1|memory[232][31]~920 ; 8 ; +; RAM:inst1|memory[232][7]~919 ; 8 ; +; RAM:inst1|memory[232][15]~918 ; 8 ; +; RAM:inst1|memory[232][23]~917 ; 8 ; +; RAM:inst1|memory[228][31]~916 ; 8 ; +; RAM:inst1|memory[228][7]~915 ; 8 ; +; RAM:inst1|memory[228][23]~914 ; 8 ; +; RAM:inst1|memory[228][15]~913 ; 8 ; +; RAM:inst1|memory[237][31]~912 ; 8 ; +; RAM:inst1|memory[237][7]~911 ; 8 ; +; RAM:inst1|memory[237][23]~910 ; 8 ; +; RAM:inst1|memory[237][15]~909 ; 8 ; +; RAM:inst1|memory[225][31]~908 ; 8 ; +; RAM:inst1|memory[225][7]~907 ; 8 ; +; RAM:inst1|memory[225][15]~906 ; 8 ; +; RAM:inst1|memory[225][23]~905 ; 8 ; +; RAM:inst1|memory[229][31]~904 ; 8 ; +; RAM:inst1|memory[229][7]~903 ; 8 ; +; RAM:inst1|memory[229][23]~902 ; 8 ; +; RAM:inst1|memory[229][15]~901 ; 8 ; +; RAM:inst1|memory[233][31]~900 ; 8 ; +; RAM:inst1|memory[233][7]~899 ; 8 ; +; RAM:inst1|memory[233][15]~898 ; 8 ; +; RAM:inst1|memory[233][23]~897 ; 8 ; +; RAM:inst1|memory[238][31]~896 ; 8 ; +; RAM:inst1|memory[238][7]~895 ; 8 ; +; RAM:inst1|memory[238][23]~894 ; 8 ; +; RAM:inst1|memory[238][15]~893 ; 8 ; +; RAM:inst1|memory[226][31]~892 ; 8 ; +; RAM:inst1|memory[226][7]~891 ; 8 ; +; RAM:inst1|memory[226][15]~890 ; 8 ; +; RAM:inst1|memory[226][23]~889 ; 8 ; +; RAM:inst1|memory[234][31]~888 ; 8 ; +; RAM:inst1|memory[234][7]~887 ; 8 ; +; RAM:inst1|memory[234][15]~886 ; 8 ; +; RAM:inst1|memory[234][23]~885 ; 8 ; +; RAM:inst1|memory[230][31]~884 ; 8 ; +; RAM:inst1|memory[230][7]~883 ; 8 ; +; RAM:inst1|memory[230][23]~882 ; 8 ; +; RAM:inst1|memory[230][15]~881 ; 8 ; +; RAM:inst1|memory[223][31]~880 ; 8 ; +; RAM:inst1|memory[211][31]~879 ; 8 ; +; RAM:inst1|memory[215][31]~878 ; 8 ; +; RAM:inst1|memory[219][31]~877 ; 8 ; +; RAM:inst1|memory[220][31]~876 ; 8 ; +; RAM:inst1|memory[208][31]~875 ; 8 ; +; RAM:inst1|memory[216][31]~874 ; 8 ; +; RAM:inst1|memory[212][31]~873 ; 8 ; +; RAM:inst1|memory[222][31]~872 ; 8 ; +; RAM:inst1|memory[210][31]~871 ; 8 ; +; RAM:inst1|memory[218][31]~870 ; 8 ; +; RAM:inst1|memory[214][31]~869 ; 8 ; +; RAM:inst1|memory[221][31]~868 ; 8 ; +; RAM:inst1|memory[209][31]~867 ; 8 ; +; RAM:inst1|memory[213][31]~866 ; 8 ; +; RAM:inst1|memory[217][31]~865 ; 8 ; +; RAM:inst1|memory[223][7]~864 ; 8 ; +; RAM:inst1|memory[211][7]~863 ; 8 ; +; RAM:inst1|memory[215][7]~862 ; 8 ; +; RAM:inst1|memory[219][7]~861 ; 8 ; +; RAM:inst1|memory[220][7]~860 ; 8 ; +; RAM:inst1|memory[208][7]~859 ; 8 ; +; RAM:inst1|memory[216][7]~858 ; 8 ; +; RAM:inst1|memory[212][7]~857 ; 8 ; +; RAM:inst1|memory[221][7]~856 ; 8 ; +; RAM:inst1|memory[209][7]~855 ; 8 ; +; RAM:inst1|memory[213][7]~854 ; 8 ; +; RAM:inst1|memory[217][7]~853 ; 8 ; +; RAM:inst1|memory[222][7]~852 ; 8 ; +; RAM:inst1|memory[210][7]~851 ; 8 ; +; RAM:inst1|memory[218][7]~850 ; 8 ; +; RAM:inst1|memory[214][7]~849 ; 8 ; +; RAM:inst1|memory[223][15]~848 ; 8 ; +; RAM:inst1|memory[211][15]~847 ; 8 ; +; RAM:inst1|memory[215][15]~846 ; 8 ; +; RAM:inst1|memory[219][15]~845 ; 8 ; +; RAM:inst1|memory[220][15]~844 ; 8 ; +; RAM:inst1|memory[208][15]~843 ; 8 ; +; RAM:inst1|memory[216][15]~842 ; 8 ; +; RAM:inst1|memory[212][15]~841 ; 8 ; +; RAM:inst1|memory[222][15]~840 ; 8 ; +; RAM:inst1|memory[210][15]~839 ; 8 ; +; RAM:inst1|memory[218][15]~838 ; 8 ; +; RAM:inst1|memory[214][15]~837 ; 8 ; +; RAM:inst1|memory[221][15]~836 ; 8 ; +; RAM:inst1|memory[209][15]~835 ; 8 ; +; RAM:inst1|memory[213][15]~834 ; 8 ; +; RAM:inst1|memory[217][15]~833 ; 8 ; +; RAM:inst1|memory[223][23]~832 ; 8 ; +; RAM:inst1|memory[211][23]~831 ; 8 ; +; RAM:inst1|memory[215][23]~830 ; 8 ; +; RAM:inst1|memory[219][23]~829 ; 8 ; +; RAM:inst1|memory[220][23]~828 ; 8 ; +; RAM:inst1|memory[208][23]~827 ; 8 ; +; RAM:inst1|memory[216][23]~826 ; 8 ; +; RAM:inst1|memory[212][23]~825 ; 8 ; +; RAM:inst1|memory[221][23]~824 ; 8 ; +; RAM:inst1|memory[209][23]~823 ; 8 ; +; RAM:inst1|memory[213][23]~822 ; 8 ; +; RAM:inst1|memory[217][23]~821 ; 8 ; +; RAM:inst1|memory[222][23]~820 ; 8 ; +; RAM:inst1|memory[210][23]~819 ; 8 ; +; RAM:inst1|memory[218][23]~818 ; 8 ; +; RAM:inst1|memory[214][23]~817 ; 8 ; +; RAM:inst1|memory[63][31]~816 ; 8 ; +; RAM:inst1|memory[60][31]~815 ; 8 ; +; RAM:inst1|memory[61][31]~814 ; 8 ; +; RAM:inst1|memory[62][31]~813 ; 8 ; +; RAM:inst1|memory[63][7]~812 ; 8 ; +; RAM:inst1|memory[60][7]~811 ; 8 ; +; RAM:inst1|memory[62][7]~810 ; 8 ; +; RAM:inst1|memory[61][7]~809 ; 8 ; +; RAM:inst1|memory[63][15]~808 ; 8 ; +; RAM:inst1|memory[60][15]~807 ; 8 ; +; RAM:inst1|memory[61][15]~806 ; 8 ; +; RAM:inst1|memory[62][15]~805 ; 8 ; +; RAM:inst1|memory[63][23]~804 ; 8 ; +; RAM:inst1|memory[60][23]~803 ; 8 ; +; RAM:inst1|memory[62][23]~802 ; 8 ; +; RAM:inst1|memory[61][23]~801 ; 8 ; +; RAM:inst1|memory[51][31]~800 ; 8 ; +; RAM:inst1|memory[51][7]~799 ; 8 ; +; RAM:inst1|memory[51][23]~798 ; 8 ; +; RAM:inst1|memory[51][15]~797 ; 8 ; +; RAM:inst1|memory[48][31]~796 ; 8 ; +; RAM:inst1|memory[48][7]~795 ; 8 ; +; RAM:inst1|memory[48][15]~794 ; 8 ; +; RAM:inst1|memory[48][23]~793 ; 8 ; +; RAM:inst1|memory[49][31]~792 ; 8 ; +; RAM:inst1|memory[49][7]~791 ; 8 ; +; RAM:inst1|memory[49][23]~790 ; 8 ; +; RAM:inst1|memory[49][15]~789 ; 8 ; +; RAM:inst1|memory[50][31]~788 ; 8 ; +; RAM:inst1|memory[50][7]~787 ; 8 ; +; RAM:inst1|memory[50][15]~786 ; 8 ; +; RAM:inst1|memory[50][23]~785 ; 8 ; +; RAM:inst1|memory[59][31]~784 ; 8 ; +; RAM:inst1|memory[59][7]~783 ; 8 ; +; RAM:inst1|memory[59][23]~782 ; 8 ; +; RAM:inst1|memory[59][15]~781 ; 8 ; +; RAM:inst1|memory[56][31]~780 ; 8 ; +; RAM:inst1|memory[56][7]~779 ; 8 ; +; RAM:inst1|memory[56][15]~778 ; 8 ; +; RAM:inst1|memory[56][23]~777 ; 8 ; +; RAM:inst1|memory[57][31]~776 ; 8 ; +; RAM:inst1|memory[57][7]~775 ; 8 ; +; RAM:inst1|memory[57][23]~774 ; 8 ; +; RAM:inst1|memory[57][15]~773 ; 8 ; +; RAM:inst1|memory[58][31]~772 ; 8 ; +; RAM:inst1|memory[58][7]~771 ; 8 ; +; RAM:inst1|memory[58][15]~770 ; 8 ; +; RAM:inst1|memory[58][23]~769 ; 8 ; +; RAM:inst1|memory[55][31]~768 ; 8 ; +; RAM:inst1|memory[55][7]~767 ; 8 ; +; RAM:inst1|memory[55][23]~766 ; 8 ; +; RAM:inst1|memory[55][15]~765 ; 8 ; +; RAM:inst1|memory[52][31]~764 ; 8 ; +; RAM:inst1|memory[52][7]~763 ; 8 ; +; RAM:inst1|memory[52][15]~762 ; 8 ; +; RAM:inst1|memory[52][23]~761 ; 8 ; +; RAM:inst1|memory[54][31]~760 ; 8 ; +; RAM:inst1|memory[54][7]~759 ; 8 ; +; RAM:inst1|memory[54][15]~758 ; 8 ; +; RAM:inst1|memory[54][23]~757 ; 8 ; +; RAM:inst1|memory[53][31]~756 ; 8 ; +; RAM:inst1|memory[53][7]~755 ; 8 ; +; RAM:inst1|memory[53][23]~754 ; 8 ; +; RAM:inst1|memory[53][15]~753 ; 8 ; +; RAM:inst1|memory[15][31]~752 ; 8 ; +; RAM:inst1|memory[12][31]~751 ; 8 ; +; RAM:inst1|memory[13][31]~750 ; 8 ; +; RAM:inst1|memory[14][31]~749 ; 8 ; +; RAM:inst1|memory[3][31]~748 ; 8 ; +; RAM:inst1|memory[0][31]~747 ; 8 ; +; RAM:inst1|memory[2][31]~746 ; 8 ; +; RAM:inst1|memory[1][31]~745 ; 8 ; +; RAM:inst1|memory[11][31]~744 ; 8 ; +; RAM:inst1|memory[8][31]~743 ; 8 ; +; RAM:inst1|memory[10][31]~742 ; 8 ; +; RAM:inst1|memory[9][31]~741 ; 8 ; +; RAM:inst1|memory[7][31]~740 ; 8 ; +; RAM:inst1|memory[4][31]~739 ; 8 ; +; RAM:inst1|memory[5][31]~738 ; 8 ; +; RAM:inst1|memory[6][31]~737 ; 8 ; +; RAM:inst1|memory[15][7]~736 ; 8 ; +; RAM:inst1|memory[12][7]~735 ; 8 ; +; RAM:inst1|memory[13][7]~734 ; 8 ; +; RAM:inst1|memory[14][7]~733 ; 8 ; +; RAM:inst1|memory[3][7]~732 ; 8 ; +; RAM:inst1|memory[0][7]~731 ; 8 ; +; RAM:inst1|memory[2][7]~730 ; 8 ; +; RAM:inst1|memory[1][7]~729 ; 8 ; +; RAM:inst1|memory[7][7]~728 ; 8 ; +; RAM:inst1|memory[4][7]~727 ; 8 ; +; RAM:inst1|memory[5][7]~726 ; 8 ; +; RAM:inst1|memory[6][7]~725 ; 8 ; +; RAM:inst1|memory[11][7]~724 ; 8 ; +; RAM:inst1|memory[8][7]~723 ; 8 ; +; RAM:inst1|memory[10][7]~722 ; 8 ; +; RAM:inst1|memory[9][7]~721 ; 8 ; +; RAM:inst1|memory[15][15]~720 ; 8 ; +; RAM:inst1|memory[12][15]~719 ; 8 ; +; RAM:inst1|memory[13][15]~718 ; 8 ; +; RAM:inst1|memory[14][15]~717 ; 8 ; +; RAM:inst1|memory[3][15]~716 ; 8 ; +; RAM:inst1|memory[0][15]~715 ; 8 ; +; RAM:inst1|memory[2][15]~714 ; 8 ; +; RAM:inst1|memory[1][15]~713 ; 8 ; +; RAM:inst1|memory[11][15]~712 ; 8 ; +; RAM:inst1|memory[8][15]~711 ; 8 ; +; RAM:inst1|memory[10][15]~710 ; 8 ; +; RAM:inst1|memory[9][15]~709 ; 8 ; +; RAM:inst1|memory[7][15]~708 ; 8 ; +; RAM:inst1|memory[4][15]~707 ; 8 ; +; RAM:inst1|memory[5][15]~706 ; 8 ; +; RAM:inst1|memory[6][15]~705 ; 8 ; +; RAM:inst1|memory[15][23]~704 ; 8 ; +; RAM:inst1|memory[12][23]~703 ; 8 ; +; RAM:inst1|memory[13][23]~702 ; 8 ; +; RAM:inst1|memory[14][23]~701 ; 8 ; +; RAM:inst1|memory[3][23]~700 ; 8 ; +; RAM:inst1|memory[0][23]~699 ; 8 ; +; RAM:inst1|memory[2][23]~698 ; 8 ; +; RAM:inst1|memory[1][23]~697 ; 8 ; +; RAM:inst1|memory[7][23]~696 ; 8 ; +; RAM:inst1|memory[4][23]~695 ; 8 ; +; RAM:inst1|memory[5][23]~694 ; 8 ; +; RAM:inst1|memory[6][23]~693 ; 8 ; +; RAM:inst1|memory[11][23]~692 ; 8 ; +; RAM:inst1|memory[8][23]~691 ; 8 ; +; RAM:inst1|memory[10][23]~690 ; 8 ; +; RAM:inst1|memory[9][23]~689 ; 8 ; +; RAM:inst1|memory[31][31]~688 ; 8 ; +; RAM:inst1|memory[19][31]~687 ; 8 ; +; RAM:inst1|memory[23][31]~686 ; 8 ; +; RAM:inst1|memory[27][31]~685 ; 8 ; +; RAM:inst1|memory[31][7]~684 ; 8 ; +; RAM:inst1|memory[19][7]~683 ; 8 ; +; RAM:inst1|memory[27][7]~682 ; 8 ; +; RAM:inst1|memory[23][7]~681 ; 8 ; +; RAM:inst1|memory[31][23]~680 ; 8 ; +; RAM:inst1|memory[19][23]~679 ; 8 ; +; RAM:inst1|memory[27][23]~678 ; 8 ; +; RAM:inst1|memory[23][23]~677 ; 8 ; +; RAM:inst1|memory[31][15]~676 ; 8 ; +; RAM:inst1|memory[19][15]~675 ; 8 ; +; RAM:inst1|memory[23][15]~674 ; 8 ; +; RAM:inst1|memory[27][15]~673 ; 8 ; +; RAM:inst1|memory[28][31]~672 ; 8 ; +; RAM:inst1|memory[28][7]~671 ; 8 ; +; RAM:inst1|memory[28][15]~670 ; 8 ; +; RAM:inst1|memory[28][23]~669 ; 8 ; +; RAM:inst1|memory[16][31]~668 ; 8 ; +; RAM:inst1|memory[16][7]~667 ; 8 ; +; RAM:inst1|memory[16][23]~666 ; 8 ; +; RAM:inst1|memory[16][15]~665 ; 8 ; +; RAM:inst1|memory[20][31]~664 ; 8 ; +; RAM:inst1|memory[20][7]~663 ; 8 ; +; RAM:inst1|memory[20][15]~662 ; 8 ; +; RAM:inst1|memory[20][23]~661 ; 8 ; +; RAM:inst1|memory[24][31]~660 ; 8 ; +; RAM:inst1|memory[24][7]~659 ; 8 ; +; RAM:inst1|memory[24][23]~658 ; 8 ; +; RAM:inst1|memory[24][15]~657 ; 8 ; +; RAM:inst1|memory[29][31]~656 ; 8 ; +; RAM:inst1|memory[29][7]~655 ; 8 ; +; RAM:inst1|memory[29][15]~654 ; 8 ; +; RAM:inst1|memory[29][23]~653 ; 8 ; +; RAM:inst1|memory[17][31]~652 ; 8 ; +; RAM:inst1|memory[17][7]~651 ; 8 ; +; RAM:inst1|memory[17][23]~650 ; 8 ; +; RAM:inst1|memory[17][15]~649 ; 8 ; +; RAM:inst1|memory[25][31]~648 ; 8 ; +; RAM:inst1|memory[25][7]~647 ; 8 ; +; RAM:inst1|memory[25][23]~646 ; 8 ; +; RAM:inst1|memory[25][15]~645 ; 8 ; +; RAM:inst1|memory[21][31]~644 ; 8 ; +; RAM:inst1|memory[21][7]~643 ; 8 ; +; RAM:inst1|memory[21][15]~642 ; 8 ; +; RAM:inst1|memory[21][23]~641 ; 8 ; +; RAM:inst1|memory[30][31]~640 ; 8 ; +; RAM:inst1|memory[30][7]~639 ; 8 ; +; RAM:inst1|memory[30][15]~638 ; 8 ; +; RAM:inst1|memory[30][23]~637 ; 8 ; +; RAM:inst1|memory[18][31]~636 ; 8 ; +; RAM:inst1|memory[18][7]~635 ; 8 ; +; RAM:inst1|memory[18][23]~634 ; 8 ; +; RAM:inst1|memory[18][15]~633 ; 8 ; +; RAM:inst1|memory[22][31]~632 ; 8 ; +; RAM:inst1|memory[22][7]~631 ; 8 ; +; RAM:inst1|memory[22][15]~630 ; 8 ; +; RAM:inst1|memory[22][23]~629 ; 8 ; +; RAM:inst1|memory[26][31]~628 ; 8 ; +; RAM:inst1|memory[26][7]~627 ; 8 ; +; RAM:inst1|memory[26][23]~626 ; 8 ; +; RAM:inst1|memory[26][15]~625 ; 8 ; +; RAM:inst1|memory[47][31]~624 ; 8 ; +; RAM:inst1|memory[35][31]~623 ; 8 ; +; RAM:inst1|memory[43][31]~622 ; 8 ; +; RAM:inst1|memory[39][31]~621 ; 8 ; +; RAM:inst1|memory[44][31]~620 ; 8 ; +; RAM:inst1|memory[32][31]~619 ; 8 ; +; RAM:inst1|memory[36][31]~618 ; 8 ; +; RAM:inst1|memory[40][31]~617 ; 8 ; +; RAM:inst1|memory[46][31]~616 ; 8 ; +; RAM:inst1|memory[34][31]~615 ; 8 ; +; RAM:inst1|memory[38][31]~614 ; 8 ; +; RAM:inst1|memory[42][31]~613 ; 8 ; +; RAM:inst1|memory[45][31]~612 ; 8 ; +; RAM:inst1|memory[33][31]~611 ; 8 ; +; RAM:inst1|memory[41][31]~610 ; 8 ; +; RAM:inst1|memory[37][31]~609 ; 8 ; +; RAM:inst1|memory[47][7]~608 ; 8 ; +; RAM:inst1|memory[44][7]~607 ; 8 ; +; RAM:inst1|memory[45][7]~606 ; 8 ; +; RAM:inst1|memory[46][7]~605 ; 8 ; +; RAM:inst1|memory[35][7]~604 ; 8 ; +; RAM:inst1|memory[32][7]~603 ; 8 ; +; RAM:inst1|memory[34][7]~602 ; 8 ; +; RAM:inst1|memory[33][7]~601 ; 8 ; +; RAM:inst1|memory[43][7]~600 ; 8 ; +; RAM:inst1|memory[40][7]~599 ; 8 ; +; RAM:inst1|memory[42][7]~598 ; 8 ; +; RAM:inst1|memory[41][7]~597 ; 8 ; +; RAM:inst1|memory[39][7]~596 ; 8 ; +; RAM:inst1|memory[36][7]~595 ; 8 ; +; RAM:inst1|memory[37][7]~594 ; 8 ; +; RAM:inst1|memory[38][7]~593 ; 8 ; +; RAM:inst1|memory[47][23]~592 ; 8 ; +; RAM:inst1|memory[44][23]~591 ; 8 ; +; RAM:inst1|memory[45][23]~590 ; 8 ; +; RAM:inst1|memory[46][23]~589 ; 8 ; +; RAM:inst1|memory[35][23]~588 ; 8 ; +; RAM:inst1|memory[32][23]~587 ; 8 ; +; RAM:inst1|memory[34][23]~586 ; 8 ; +; RAM:inst1|memory[33][23]~585 ; 8 ; +; RAM:inst1|memory[43][23]~584 ; 8 ; +; RAM:inst1|memory[40][23]~583 ; 8 ; +; RAM:inst1|memory[42][23]~582 ; 8 ; +; RAM:inst1|memory[41][23]~581 ; 8 ; +; RAM:inst1|memory[39][23]~580 ; 8 ; +; RAM:inst1|memory[36][23]~579 ; 8 ; +; RAM:inst1|memory[37][23]~578 ; 8 ; +; RAM:inst1|memory[38][23]~577 ; 8 ; +; RAM:inst1|memory[47][15]~576 ; 8 ; +; RAM:inst1|memory[44][15]~575 ; 8 ; +; RAM:inst1|memory[45][15]~574 ; 8 ; +; RAM:inst1|memory[46][15]~573 ; 8 ; +; RAM:inst1|memory[35][15]~572 ; 8 ; +; RAM:inst1|memory[32][15]~571 ; 8 ; +; RAM:inst1|memory[34][15]~570 ; 8 ; +; RAM:inst1|memory[33][15]~569 ; 8 ; +; RAM:inst1|memory[39][15]~568 ; 8 ; +; RAM:inst1|memory[36][15]~567 ; 8 ; +; RAM:inst1|memory[37][15]~566 ; 8 ; +; RAM:inst1|memory[38][15]~565 ; 8 ; +; RAM:inst1|memory[43][15]~564 ; 8 ; +; RAM:inst1|memory[40][15]~563 ; 8 ; +; RAM:inst1|memory[42][15]~562 ; 8 ; +; RAM:inst1|memory[41][15]~561 ; 8 ; +; RAM:inst1|memory[127][31]~560 ; 8 ; +; RAM:inst1|memory[79][31]~559 ; 8 ; +; RAM:inst1|memory[111][31]~558 ; 8 ; +; RAM:inst1|memory[95][31]~557 ; 8 ; +; RAM:inst1|memory[127][7]~556 ; 8 ; +; RAM:inst1|memory[79][7]~555 ; 8 ; +; RAM:inst1|memory[95][7]~554 ; 8 ; +; RAM:inst1|memory[111][7]~553 ; 8 ; +; RAM:inst1|memory[127][15]~552 ; 8 ; +; RAM:inst1|memory[79][15]~551 ; 8 ; +; RAM:inst1|memory[111][15]~550 ; 8 ; +; RAM:inst1|memory[95][15]~549 ; 8 ; +; RAM:inst1|memory[127][23]~548 ; 8 ; +; RAM:inst1|memory[79][23]~547 ; 8 ; +; RAM:inst1|memory[95][23]~546 ; 8 ; +; RAM:inst1|memory[111][23]~545 ; 8 ; +; RAM:inst1|memory[115][31]~544 ; 8 ; +; RAM:inst1|memory[67][31]~543 ; 8 ; +; RAM:inst1|memory[99][31]~542 ; 8 ; +; RAM:inst1|memory[83][31]~541 ; 8 ; +; RAM:inst1|memory[115][7]~540 ; 8 ; +; RAM:inst1|memory[67][7]~539 ; 8 ; +; RAM:inst1|memory[83][7]~538 ; 8 ; +; RAM:inst1|memory[99][7]~537 ; 8 ; +; RAM:inst1|memory[115][23]~536 ; 8 ; +; RAM:inst1|memory[67][23]~535 ; 8 ; +; RAM:inst1|memory[83][23]~534 ; 8 ; +; RAM:inst1|memory[99][23]~533 ; 8 ; +; RAM:inst1|memory[115][15]~532 ; 8 ; +; RAM:inst1|memory[67][15]~531 ; 8 ; +; RAM:inst1|memory[99][15]~530 ; 8 ; +; RAM:inst1|memory[83][15]~529 ; 8 ; +; RAM:inst1|memory[123][31]~528 ; 8 ; +; RAM:inst1|memory[75][31]~527 ; 8 ; +; RAM:inst1|memory[107][31]~526 ; 8 ; +; RAM:inst1|memory[91][31]~525 ; 8 ; +; RAM:inst1|memory[123][7]~524 ; 8 ; +; RAM:inst1|memory[75][7]~523 ; 8 ; +; RAM:inst1|memory[91][7]~522 ; 8 ; +; RAM:inst1|memory[107][7]~521 ; 8 ; +; RAM:inst1|memory[123][23]~520 ; 8 ; +; RAM:inst1|memory[75][23]~519 ; 8 ; +; RAM:inst1|memory[91][23]~518 ; 8 ; +; RAM:inst1|memory[107][23]~517 ; 8 ; +; RAM:inst1|memory[123][15]~516 ; 8 ; +; RAM:inst1|memory[75][15]~515 ; 8 ; +; RAM:inst1|memory[107][15]~514 ; 8 ; +; RAM:inst1|memory[91][15]~513 ; 8 ; +; RAM:inst1|memory[119][31]~512 ; 8 ; +; RAM:inst1|memory[71][31]~511 ; 8 ; +; RAM:inst1|memory[103][31]~510 ; 8 ; +; RAM:inst1|memory[87][31]~509 ; 8 ; +; RAM:inst1|memory[119][7]~508 ; 8 ; +; RAM:inst1|memory[71][7]~507 ; 8 ; +; RAM:inst1|memory[87][7]~506 ; 8 ; +; RAM:inst1|memory[103][7]~505 ; 8 ; +; RAM:inst1|memory[119][15]~504 ; 8 ; +; RAM:inst1|memory[71][15]~503 ; 8 ; +; RAM:inst1|memory[103][15]~502 ; 8 ; +; RAM:inst1|memory[87][15]~501 ; 8 ; +; RAM:inst1|memory[119][23]~500 ; 8 ; +; RAM:inst1|memory[71][23]~499 ; 8 ; +; RAM:inst1|memory[87][23]~498 ; 8 ; +; RAM:inst1|memory[103][23]~497 ; 8 ; +; RAM:inst1|memory[124][31]~496 ; 8 ; +; RAM:inst1|memory[76][31]~495 ; 8 ; +; RAM:inst1|memory[108][31]~494 ; 8 ; +; RAM:inst1|memory[92][31]~493 ; 8 ; +; RAM:inst1|memory[112][31]~492 ; 8 ; +; RAM:inst1|memory[64][31]~491 ; 8 ; +; RAM:inst1|memory[80][31]~490 ; 8 ; +; RAM:inst1|memory[96][31]~489 ; 8 ; +; RAM:inst1|memory[120][31]~488 ; 8 ; +; RAM:inst1|memory[72][31]~487 ; 8 ; +; RAM:inst1|memory[88][31]~486 ; 8 ; +; RAM:inst1|memory[104][31]~485 ; 8 ; +; RAM:inst1|memory[116][31]~484 ; 8 ; +; RAM:inst1|memory[68][31]~483 ; 8 ; +; RAM:inst1|memory[100][31]~482 ; 8 ; +; RAM:inst1|memory[84][31]~481 ; 8 ; +; RAM:inst1|memory[124][7]~480 ; 8 ; +; RAM:inst1|memory[76][7]~479 ; 8 ; +; RAM:inst1|memory[108][7]~478 ; 8 ; +; RAM:inst1|memory[92][7]~477 ; 8 ; +; RAM:inst1|memory[112][7]~476 ; 8 ; +; RAM:inst1|memory[64][7]~475 ; 8 ; +; RAM:inst1|memory[80][7]~474 ; 8 ; +; RAM:inst1|memory[96][7]~473 ; 8 ; +; RAM:inst1|memory[116][7]~472 ; 8 ; +; RAM:inst1|memory[68][7]~471 ; 8 ; +; RAM:inst1|memory[100][7]~470 ; 8 ; +; RAM:inst1|memory[84][7]~469 ; 8 ; +; RAM:inst1|memory[120][7]~468 ; 8 ; +; RAM:inst1|memory[72][7]~467 ; 8 ; +; RAM:inst1|memory[88][7]~466 ; 8 ; +; RAM:inst1|memory[104][7]~465 ; 8 ; +; RAM:inst1|memory[124][15]~464 ; 8 ; +; RAM:inst1|memory[76][15]~463 ; 8 ; +; RAM:inst1|memory[108][15]~462 ; 8 ; +; RAM:inst1|memory[92][15]~461 ; 8 ; +; RAM:inst1|memory[112][15]~460 ; 8 ; +; RAM:inst1|memory[64][15]~459 ; 8 ; +; RAM:inst1|memory[80][15]~458 ; 8 ; +; RAM:inst1|memory[96][15]~457 ; 8 ; +; RAM:inst1|memory[120][15]~456 ; 8 ; +; RAM:inst1|memory[72][15]~455 ; 8 ; +; RAM:inst1|memory[88][15]~454 ; 8 ; +; RAM:inst1|memory[104][15]~453 ; 8 ; +; RAM:inst1|memory[116][15]~452 ; 8 ; +; RAM:inst1|memory[68][15]~451 ; 8 ; +; RAM:inst1|memory[100][15]~450 ; 8 ; +; RAM:inst1|memory[84][15]~449 ; 8 ; +; RAM:inst1|memory[124][23]~448 ; 8 ; +; RAM:inst1|memory[76][23]~447 ; 8 ; +; RAM:inst1|memory[108][23]~446 ; 8 ; +; RAM:inst1|memory[92][23]~445 ; 8 ; +; RAM:inst1|memory[112][23]~444 ; 8 ; +; RAM:inst1|memory[64][23]~443 ; 8 ; +; RAM:inst1|memory[80][23]~442 ; 8 ; +; RAM:inst1|memory[96][23]~441 ; 8 ; +; RAM:inst1|memory[116][23]~440 ; 8 ; +; RAM:inst1|memory[68][23]~439 ; 8 ; +; RAM:inst1|memory[100][23]~438 ; 8 ; +; RAM:inst1|memory[84][23]~437 ; 8 ; +; RAM:inst1|memory[120][23]~436 ; 8 ; +; RAM:inst1|memory[72][23]~435 ; 8 ; +; RAM:inst1|memory[88][23]~434 ; 8 ; +; RAM:inst1|memory[104][23]~433 ; 8 ; +; RAM:inst1|memory[125][31]~432 ; 8 ; +; RAM:inst1|memory[77][31]~431 ; 8 ; +; RAM:inst1|memory[109][31]~430 ; 8 ; +; RAM:inst1|memory[93][31]~429 ; 8 ; +; RAM:inst1|memory[125][7]~428 ; 8 ; +; RAM:inst1|memory[77][7]~427 ; 8 ; +; RAM:inst1|memory[93][7]~426 ; 8 ; +; RAM:inst1|memory[109][7]~425 ; 8 ; +; RAM:inst1|memory[125][15]~424 ; 8 ; +; RAM:inst1|memory[77][15]~423 ; 8 ; +; RAM:inst1|memory[109][15]~422 ; 8 ; +; RAM:inst1|memory[93][15]~421 ; 8 ; +; RAM:inst1|memory[125][23]~420 ; 8 ; +; RAM:inst1|memory[77][23]~419 ; 8 ; +; RAM:inst1|memory[93][23]~418 ; 8 ; +; RAM:inst1|memory[109][23]~417 ; 8 ; +; RAM:inst1|memory[113][31]~416 ; 8 ; +; RAM:inst1|memory[65][31]~415 ; 8 ; +; RAM:inst1|memory[97][31]~414 ; 8 ; +; RAM:inst1|memory[81][31]~413 ; 8 ; +; RAM:inst1|memory[113][7]~412 ; 8 ; +; RAM:inst1|memory[65][7]~411 ; 8 ; +; RAM:inst1|memory[81][7]~410 ; 8 ; +; RAM:inst1|memory[97][7]~409 ; 8 ; +; RAM:inst1|memory[113][23]~408 ; 8 ; +; RAM:inst1|memory[65][23]~407 ; 8 ; +; RAM:inst1|memory[81][23]~406 ; 8 ; +; RAM:inst1|memory[97][23]~405 ; 8 ; +; RAM:inst1|memory[113][15]~404 ; 8 ; +; RAM:inst1|memory[65][15]~403 ; 8 ; +; RAM:inst1|memory[97][15]~402 ; 8 ; +; RAM:inst1|memory[81][15]~401 ; 8 ; +; RAM:inst1|memory[117][31]~400 ; 8 ; +; RAM:inst1|memory[69][31]~399 ; 8 ; +; RAM:inst1|memory[101][31]~398 ; 8 ; +; RAM:inst1|memory[85][31]~397 ; 8 ; +; RAM:inst1|memory[117][7]~396 ; 8 ; +; RAM:inst1|memory[69][7]~395 ; 8 ; +; RAM:inst1|memory[85][7]~394 ; 8 ; +; RAM:inst1|memory[101][7]~393 ; 8 ; +; RAM:inst1|memory[117][15]~392 ; 8 ; +; RAM:inst1|memory[69][15]~391 ; 8 ; +; RAM:inst1|memory[101][15]~390 ; 8 ; +; RAM:inst1|memory[85][15]~389 ; 8 ; +; RAM:inst1|memory[117][23]~388 ; 8 ; +; RAM:inst1|memory[69][23]~387 ; 8 ; +; RAM:inst1|memory[85][23]~386 ; 8 ; +; RAM:inst1|memory[101][23]~385 ; 8 ; +; RAM:inst1|memory[121][31]~384 ; 8 ; +; RAM:inst1|memory[73][31]~383 ; 8 ; +; RAM:inst1|memory[105][31]~382 ; 8 ; +; RAM:inst1|memory[89][31]~381 ; 8 ; +; RAM:inst1|memory[121][7]~380 ; 8 ; +; RAM:inst1|memory[73][7]~379 ; 8 ; +; RAM:inst1|memory[89][7]~378 ; 8 ; +; RAM:inst1|memory[105][7]~377 ; 8 ; +; RAM:inst1|memory[121][23]~376 ; 8 ; +; RAM:inst1|memory[73][23]~375 ; 8 ; +; RAM:inst1|memory[89][23]~374 ; 8 ; +; RAM:inst1|memory[105][23]~373 ; 8 ; +; RAM:inst1|memory[121][15]~372 ; 8 ; +; RAM:inst1|memory[73][15]~371 ; 8 ; +; RAM:inst1|memory[105][15]~370 ; 8 ; +; RAM:inst1|memory[89][15]~369 ; 8 ; +; RAM:inst1|memory[126][31]~368 ; 8 ; +; RAM:inst1|memory[78][31]~367 ; 8 ; +; RAM:inst1|memory[110][31]~366 ; 8 ; +; RAM:inst1|memory[94][31]~365 ; 8 ; +; RAM:inst1|memory[114][31]~364 ; 8 ; +; RAM:inst1|memory[66][31]~363 ; 8 ; +; RAM:inst1|memory[82][31]~362 ; 8 ; +; RAM:inst1|memory[98][31]~361 ; 8 ; +; RAM:inst1|memory[122][31]~360 ; 8 ; +; RAM:inst1|memory[74][31]~359 ; 8 ; +; RAM:inst1|memory[90][31]~358 ; 8 ; +; RAM:inst1|memory[106][31]~357 ; 8 ; +; RAM:inst1|memory[118][31]~356 ; 8 ; +; RAM:inst1|memory[70][31]~355 ; 8 ; +; RAM:inst1|memory[102][31]~354 ; 8 ; +; RAM:inst1|memory[86][31]~353 ; 8 ; +; RAM:inst1|memory[126][7]~352 ; 8 ; +; RAM:inst1|memory[78][7]~351 ; 8 ; +; RAM:inst1|memory[110][7]~350 ; 8 ; +; RAM:inst1|memory[94][7]~349 ; 8 ; +; RAM:inst1|memory[114][7]~348 ; 8 ; +; RAM:inst1|memory[66][7]~347 ; 8 ; +; RAM:inst1|memory[82][7]~346 ; 8 ; +; RAM:inst1|memory[98][7]~345 ; 8 ; +; RAM:inst1|memory[118][7]~344 ; 8 ; +; RAM:inst1|memory[70][7]~343 ; 8 ; +; RAM:inst1|memory[102][7]~342 ; 8 ; +; RAM:inst1|memory[86][7]~341 ; 8 ; +; RAM:inst1|memory[122][7]~340 ; 8 ; +; RAM:inst1|memory[74][7]~339 ; 8 ; +; RAM:inst1|memory[90][7]~338 ; 8 ; +; RAM:inst1|memory[106][7]~337 ; 8 ; +; RAM:inst1|memory[126][23]~336 ; 8 ; +; RAM:inst1|memory[78][23]~335 ; 8 ; +; RAM:inst1|memory[110][23]~334 ; 8 ; +; RAM:inst1|memory[94][23]~333 ; 8 ; +; RAM:inst1|memory[114][23]~332 ; 8 ; +; RAM:inst1|memory[66][23]~331 ; 8 ; +; RAM:inst1|memory[82][23]~330 ; 8 ; +; RAM:inst1|memory[98][23]~329 ; 8 ; +; RAM:inst1|memory[118][23]~328 ; 8 ; +; RAM:inst1|memory[70][23]~327 ; 8 ; +; RAM:inst1|memory[102][23]~326 ; 8 ; +; RAM:inst1|memory[86][23]~325 ; 8 ; +; RAM:inst1|memory[122][23]~324 ; 8 ; +; RAM:inst1|memory[74][23]~323 ; 8 ; +; RAM:inst1|memory[90][23]~322 ; 8 ; +; RAM:inst1|memory[106][23]~321 ; 8 ; +; RAM:inst1|memory[126][15]~320 ; 8 ; +; RAM:inst1|memory[78][15]~319 ; 8 ; +; RAM:inst1|memory[110][15]~318 ; 8 ; +; RAM:inst1|memory[94][15]~317 ; 8 ; +; RAM:inst1|memory[114][15]~316 ; 8 ; +; RAM:inst1|memory[66][15]~315 ; 8 ; +; RAM:inst1|memory[82][15]~314 ; 8 ; +; RAM:inst1|memory[98][15]~313 ; 8 ; +; RAM:inst1|memory[122][15]~312 ; 8 ; +; RAM:inst1|memory[74][15]~311 ; 8 ; +; RAM:inst1|memory[90][15]~310 ; 8 ; +; RAM:inst1|memory[106][15]~309 ; 8 ; +; RAM:inst1|memory[118][15]~308 ; 8 ; +; RAM:inst1|memory[70][15]~307 ; 8 ; +; RAM:inst1|memory[102][15]~306 ; 8 ; +; RAM:inst1|memory[86][15]~305 ; 8 ; +; RAM:inst1|memory[191][31]~304 ; 8 ; +; RAM:inst1|memory[143][31]~303 ; 8 ; +; RAM:inst1|memory[159][31]~302 ; 8 ; +; RAM:inst1|memory[175][31]~301 ; 8 ; +; RAM:inst1|memory[188][31]~300 ; 8 ; +; RAM:inst1|memory[140][31]~299 ; 8 ; +; RAM:inst1|memory[172][31]~298 ; 8 ; +; RAM:inst1|memory[156][31]~297 ; 8 ; +; RAM:inst1|memory[190][31]~296 ; 8 ; +; RAM:inst1|memory[142][31]~295 ; 8 ; +; RAM:inst1|memory[174][31]~294 ; 8 ; +; RAM:inst1|memory[158][31]~293 ; 8 ; +; RAM:inst1|memory[189][31]~292 ; 8 ; +; RAM:inst1|memory[141][31]~291 ; 8 ; +; RAM:inst1|memory[157][31]~290 ; 8 ; +; RAM:inst1|memory[173][31]~289 ; 8 ; +; RAM:inst1|memory[191][7]~288 ; 8 ; +; RAM:inst1|memory[188][7]~287 ; 8 ; +; RAM:inst1|memory[190][7]~286 ; 8 ; +; RAM:inst1|memory[189][7]~285 ; 8 ; +; RAM:inst1|memory[143][7]~284 ; 8 ; +; RAM:inst1|memory[140][7]~283 ; 8 ; +; RAM:inst1|memory[141][7]~282 ; 8 ; +; RAM:inst1|memory[142][7]~281 ; 8 ; +; RAM:inst1|memory[175][7]~280 ; 8 ; +; RAM:inst1|memory[172][7]~279 ; 8 ; +; RAM:inst1|memory[173][7]~278 ; 8 ; +; RAM:inst1|memory[174][7]~277 ; 8 ; +; RAM:inst1|memory[159][7]~276 ; 8 ; +; RAM:inst1|memory[156][7]~274 ; 8 ; +; RAM:inst1|memory[158][7]~272 ; 8 ; +; RAM:inst1|memory[157][7]~270 ; 8 ; +; RAM:inst1|memory[191][23]~268 ; 8 ; +; RAM:inst1|memory[188][23]~267 ; 8 ; +; RAM:inst1|memory[189][23]~266 ; 8 ; +; RAM:inst1|memory[190][23]~265 ; 8 ; +; RAM:inst1|memory[143][23]~264 ; 8 ; +; RAM:inst1|memory[140][23]~263 ; 8 ; +; RAM:inst1|memory[142][23]~262 ; 8 ; +; RAM:inst1|memory[141][23]~261 ; 8 ; +; RAM:inst1|memory[159][23]~260 ; 8 ; +; RAM:inst1|memory[156][23]~259 ; 8 ; +; RAM:inst1|memory[157][23]~258 ; 8 ; +; RAM:inst1|memory[158][23]~257 ; 8 ; +; RAM:inst1|memory[175][23]~256 ; 8 ; +; RAM:inst1|memory[172][23]~254 ; 8 ; +; RAM:inst1|memory[174][23]~252 ; 8 ; +; RAM:inst1|memory[173][23]~250 ; 8 ; +; RAM:inst1|memory[191][15]~248 ; 8 ; +; RAM:inst1|memory[143][15]~247 ; 8 ; +; RAM:inst1|memory[175][15]~246 ; 8 ; +; RAM:inst1|memory[159][15]~245 ; 8 ; +; RAM:inst1|memory[188][15]~243 ; 8 ; +; RAM:inst1|memory[140][15]~242 ; 8 ; +; RAM:inst1|memory[156][15]~241 ; 8 ; +; RAM:inst1|memory[172][15]~240 ; 8 ; +; RAM:inst1|memory[189][15]~238 ; 8 ; +; RAM:inst1|memory[141][15]~237 ; 8 ; +; RAM:inst1|memory[173][15]~236 ; 8 ; +; RAM:inst1|memory[157][15]~235 ; 8 ; +; RAM:inst1|memory[190][15]~233 ; 8 ; +; RAM:inst1|memory[142][15]~232 ; 8 ; +; RAM:inst1|memory[158][15]~231 ; 8 ; +; RAM:inst1|memory[174][15]~230 ; 8 ; +; RAM:inst1|memory[179][31]~228 ; 8 ; +; RAM:inst1|memory[131][31]~227 ; 8 ; +; RAM:inst1|memory[147][31]~226 ; 8 ; +; RAM:inst1|memory[163][31]~225 ; 8 ; +; RAM:inst1|memory[176][31]~224 ; 8 ; +; RAM:inst1|memory[128][31]~223 ; 8 ; +; RAM:inst1|memory[160][31]~222 ; 8 ; +; RAM:inst1|memory[144][31]~221 ; 8 ; +; RAM:inst1|memory[178][31]~220 ; 8 ; +; RAM:inst1|memory[130][31]~219 ; 8 ; +; RAM:inst1|memory[162][31]~218 ; 8 ; +; RAM:inst1|memory[146][31]~217 ; 8 ; +; RAM:inst1|memory[177][31]~216 ; 8 ; +; RAM:inst1|memory[129][31]~215 ; 8 ; +; RAM:inst1|memory[145][31]~214 ; 8 ; +; RAM:inst1|memory[161][31]~213 ; 8 ; +; RAM:inst1|memory[179][7]~212 ; 8 ; +; RAM:inst1|memory[176][7]~211 ; 8 ; +; RAM:inst1|memory[177][7]~210 ; 8 ; +; RAM:inst1|memory[178][7]~209 ; 8 ; +; RAM:inst1|memory[131][7]~208 ; 8 ; +; RAM:inst1|memory[128][7]~207 ; 8 ; +; RAM:inst1|memory[130][7]~206 ; 8 ; +; RAM:inst1|memory[129][7]~205 ; 8 ; +; RAM:inst1|memory[147][7]~204 ; 8 ; +; RAM:inst1|memory[144][7]~203 ; 8 ; +; RAM:inst1|memory[145][7]~202 ; 8 ; +; RAM:inst1|memory[146][7]~201 ; 8 ; +; RAM:inst1|memory[163][7]~200 ; 8 ; +; RAM:inst1|memory[160][7]~198 ; 8 ; +; RAM:inst1|memory[162][7]~196 ; 8 ; +; RAM:inst1|memory[161][7]~194 ; 8 ; +; RAM:inst1|memory[179][15]~192 ; 8 ; +; RAM:inst1|memory[176][15]~191 ; 8 ; +; RAM:inst1|memory[177][15]~190 ; 8 ; +; RAM:inst1|memory[178][15]~189 ; 8 ; +; RAM:inst1|memory[131][15]~188 ; 8 ; +; RAM:inst1|memory[128][15]~187 ; 8 ; +; RAM:inst1|memory[130][15]~186 ; 8 ; +; RAM:inst1|memory[129][15]~185 ; 8 ; +; RAM:inst1|memory[163][15]~184 ; 8 ; +; RAM:inst1|memory[160][15]~183 ; 8 ; +; RAM:inst1|memory[162][15]~182 ; 8 ; +; RAM:inst1|memory[161][15]~181 ; 8 ; +; RAM:inst1|memory[147][15]~180 ; 8 ; +; RAM:inst1|memory[144][15]~178 ; 8 ; +; RAM:inst1|memory[145][15]~176 ; 8 ; +; RAM:inst1|memory[146][15]~174 ; 8 ; +; RAM:inst1|memory[179][23]~172 ; 8 ; +; RAM:inst1|memory[176][23]~171 ; 8 ; +; RAM:inst1|memory[177][23]~170 ; 8 ; +; RAM:inst1|memory[178][23]~169 ; 8 ; +; RAM:inst1|memory[131][23]~168 ; 8 ; +; RAM:inst1|memory[128][23]~167 ; 8 ; +; RAM:inst1|memory[130][23]~166 ; 8 ; +; RAM:inst1|memory[129][23]~165 ; 8 ; +; RAM:inst1|memory[147][23]~164 ; 8 ; +; RAM:inst1|memory[144][23]~163 ; 8 ; +; RAM:inst1|memory[145][23]~162 ; 8 ; +; RAM:inst1|memory[146][23]~161 ; 8 ; +; RAM:inst1|memory[163][23]~160 ; 8 ; +; RAM:inst1|memory[160][23]~158 ; 8 ; +; RAM:inst1|memory[162][23]~156 ; 8 ; ++--------------------------------+---------+ -+-------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------+ -; Fitter RAM Summary ; -+-----------------------------------------------------------------------------+------+------------------+--------------+--------------+--------------+--------------+--------------+------------------------+-------------------------+------------------------+-------------------------+------+-----------------------------+-----------------------------+-----------------------------+-----------------------------+---------------------+------+------+----------------+----------------------+-----------------+-----------------+---------------+ -; Name ; Type ; Mode ; Clock Mode ; Port A Depth ; Port A Width ; Port B Depth ; Port B Width ; Port A Input Registers ; Port A Output Registers ; Port B Input Registers ; Port B Output Registers ; Size ; Implementation Port A Depth ; Implementation Port A Width ; Implementation Port B Depth ; Implementation Port B Width ; Implementation Bits ; M9Ks ; MIF ; Location ; Mixed Width RDW Mode ; Port A RDW Mode ; Port B RDW Mode ; Fits in MLABs ; -+-----------------------------------------------------------------------------+------+------------------+--------------+--------------+--------------+--------------+--------------+------------------------+-------------------------+------------------------+-------------------------+------+-----------------------------+-----------------------------+-----------------------------+-----------------------------+---------------------+------+------+----------------+----------------------+-----------------+-----------------+---------------+ -; RAM:inst3|altsyncram:memory_rtl_0|altsyncram_8bi1:auto_generated|ALTSYNCRAM ; AUTO ; Simple Dual Port ; Single Clock ; 256 ; 8 ; 256 ; 8 ; yes ; no ; yes ; no ; 2048 ; 256 ; 8 ; 256 ; 8 ; 2048 ; 1 ; None ; M9K_X33_Y29_N0 ; Old data ; Old data ; Old data ; No - Unknown ; -+-----------------------------------------------------------------------------+------+------------------+--------------+--------------+--------------+--------------+--------------+------------------------+-------------------------+------------------------+-------------------------+------+-----------------------------+-----------------------------+-----------------------------+-----------------------------+---------------------+------+------+----------------+----------------------+-----------------+-----------------+---------------+ -Note: Fitter may spread logical memories into multiple blocks to improve timing. The actual required RAM blocks can be found in the Fitter Resource Usage section. ++--------------------------------------------------+ +; Routing Usage Summary ; ++-----------------------+--------------------------+ +; Routing Resource Type ; Usage ; ++-----------------------+--------------------------+ +; Block interconnects ; 19,050 / 71,559 ( 27 % ) ; +; C16 interconnects ; 685 / 2,597 ( 26 % ) ; +; C4 interconnects ; 13,687 / 46,848 ( 29 % ) ; +; Direct links ; 635 / 71,559 ( < 1 % ) ; +; Global clocks ; 3 / 20 ( 15 % ) ; +; Local interconnects ; 3,034 / 24,624 ( 12 % ) ; +; R24 interconnects ; 740 / 2,496 ( 30 % ) ; +; R4 interconnects ; 15,951 / 62,424 ( 26 % ) ; ++-----------------------+--------------------------+ -+------------------------------------------------+ -; Routing Usage Summary ; -+-----------------------+------------------------+ -; Routing Resource Type ; Usage ; -+-----------------------+------------------------+ -; Block interconnects ; 114 / 71,559 ( < 1 % ) ; -; C16 interconnects ; 25 / 2,597 ( < 1 % ) ; -; C4 interconnects ; 119 / 46,848 ( < 1 % ) ; -; Direct links ; 6 / 71,559 ( < 1 % ) ; -; Global clocks ; 3 / 20 ( 15 % ) ; -; Local interconnects ; 62 / 24,624 ( < 1 % ) ; -; R24 interconnects ; 25 / 2,496 ( 1 % ) ; -; R4 interconnects ; 118 / 62,424 ( < 1 % ) ; -+-----------------------+------------------------+ ++-----------------------------------------------------------------------------+ +; LAB Logic Elements ; ++---------------------------------------------+-------------------------------+ +; Number of Logic Elements (Average = 12.54) ; Number of LABs (Total = 854) ; ++---------------------------------------------+-------------------------------+ +; 1 ; 20 ; +; 2 ; 43 ; +; 3 ; 23 ; +; 4 ; 25 ; +; 5 ; 11 ; +; 6 ; 18 ; +; 7 ; 9 ; +; 8 ; 11 ; +; 9 ; 25 ; +; 10 ; 37 ; +; 11 ; 29 ; +; 12 ; 31 ; +; 13 ; 37 ; +; 14 ; 55 ; +; 15 ; 100 ; +; 16 ; 380 ; ++---------------------------------------------+-------------------------------+ -+---------------------------------------------------------------------------+ -; LAB Logic Elements ; -+---------------------------------------------+-----------------------------+ -; Number of Logic Elements (Average = 11.88) ; Number of LABs (Total = 8) ; -+---------------------------------------------+-----------------------------+ -; 1 ; 0 ; -; 2 ; 0 ; -; 3 ; 0 ; -; 4 ; 2 ; -; 5 ; 0 ; -; 6 ; 0 ; -; 7 ; 0 ; -; 8 ; 0 ; -; 9 ; 1 ; -; 10 ; 0 ; -; 11 ; 0 ; -; 12 ; 0 ; -; 13 ; 0 ; -; 14 ; 1 ; -; 15 ; 0 ; -; 16 ; 4 ; -+---------------------------------------------+-----------------------------+ ++--------------------------------------------------------------------+ +; LAB-wide Signals ; ++------------------------------------+-------------------------------+ +; LAB-wide Signals (Average = 1.77) ; Number of LABs (Total = 854) ; ++------------------------------------+-------------------------------+ +; 1 Clock ; 846 ; +; 1 Clock enable ; 385 ; +; 1 Sync. clear ; 1 ; +; 2 Clock enables ; 277 ; ++------------------------------------+-------------------------------+ -+------------------------------------------------------------------+ -; LAB-wide Signals ; -+------------------------------------+-----------------------------+ -; LAB-wide Signals (Average = 1.63) ; Number of LABs (Total = 8) ; -+------------------------------------+-----------------------------+ -; 1 Clock ; 8 ; -; 1 Clock enable ; 4 ; -; 1 Sync. clear ; 1 ; -+------------------------------------+-----------------------------+ ++------------------------------------------------------------------------------+ +; LAB Signals Sourced ; ++----------------------------------------------+-------------------------------+ +; Number of Signals Sourced (Average = 19.46) ; Number of LABs (Total = 854) ; ++----------------------------------------------+-------------------------------+ +; 0 ; 0 ; +; 1 ; 3 ; +; 2 ; 20 ; +; 3 ; 17 ; +; 4 ; 30 ; +; 5 ; 14 ; +; 6 ; 21 ; +; 7 ; 12 ; +; 8 ; 16 ; +; 9 ; 8 ; +; 10 ; 10 ; +; 11 ; 3 ; +; 12 ; 7 ; +; 13 ; 13 ; +; 14 ; 18 ; +; 15 ; 26 ; +; 16 ; 24 ; +; 17 ; 33 ; +; 18 ; 39 ; +; 19 ; 20 ; +; 20 ; 31 ; +; 21 ; 27 ; +; 22 ; 40 ; +; 23 ; 52 ; +; 24 ; 83 ; +; 25 ; 79 ; +; 26 ; 80 ; +; 27 ; 61 ; +; 28 ; 41 ; +; 29 ; 19 ; +; 30 ; 6 ; +; 31 ; 1 ; ++----------------------------------------------+-------------------------------+ -+----------------------------------------------------------------------------+ -; LAB Signals Sourced ; -+----------------------------------------------+-----------------------------+ -; Number of Signals Sourced (Average = 20.75) ; Number of LABs (Total = 8) ; -+----------------------------------------------+-----------------------------+ -; 0 ; 0 ; -; 1 ; 0 ; -; 2 ; 0 ; -; 3 ; 0 ; -; 4 ; 0 ; -; 5 ; 1 ; -; 6 ; 0 ; -; 7 ; 1 ; -; 8 ; 0 ; -; 9 ; 0 ; -; 10 ; 0 ; -; 11 ; 0 ; -; 12 ; 0 ; -; 13 ; 0 ; -; 14 ; 0 ; -; 15 ; 0 ; -; 16 ; 0 ; -; 17 ; 1 ; -; 18 ; 0 ; -; 19 ; 0 ; -; 20 ; 0 ; -; 21 ; 0 ; -; 22 ; 0 ; -; 23 ; 1 ; -; 24 ; 0 ; -; 25 ; 0 ; -; 26 ; 0 ; -; 27 ; 0 ; -; 28 ; 3 ; -; 29 ; 0 ; -; 30 ; 1 ; -+----------------------------------------------+-----------------------------+ ++---------------------------------------------------------------------------------+ +; LAB Signals Sourced Out ; ++-------------------------------------------------+-------------------------------+ +; Number of Signals Sourced Out (Average = 9.31) ; Number of LABs (Total = 854) ; ++-------------------------------------------------+-------------------------------+ +; 0 ; 0 ; +; 1 ; 61 ; +; 2 ; 42 ; +; 3 ; 38 ; +; 4 ; 27 ; +; 5 ; 42 ; +; 6 ; 18 ; +; 7 ; 17 ; +; 8 ; 87 ; +; 9 ; 51 ; +; 10 ; 43 ; +; 11 ; 55 ; +; 12 ; 88 ; +; 13 ; 133 ; +; 14 ; 115 ; +; 15 ; 30 ; +; 16 ; 7 ; ++-------------------------------------------------+-------------------------------+ -+-------------------------------------------------------------------------------+ -; LAB Signals Sourced Out ; -+-------------------------------------------------+-----------------------------+ -; Number of Signals Sourced Out (Average = 7.00) ; Number of LABs (Total = 8) ; -+-------------------------------------------------+-----------------------------+ -; 0 ; 0 ; -; 1 ; 1 ; -; 2 ; 1 ; -; 3 ; 1 ; -; 4 ; 0 ; -; 5 ; 1 ; -; 6 ; 0 ; -; 7 ; 1 ; -; 8 ; 1 ; -; 9 ; 1 ; -; 10 ; 0 ; -; 11 ; 0 ; -; 12 ; 0 ; -; 13 ; 0 ; -; 14 ; 0 ; -; 15 ; 0 ; -; 16 ; 0 ; -; 17 ; 0 ; -; 18 ; 0 ; -; 19 ; 0 ; -; 20 ; 0 ; -; 21 ; 1 ; -+-------------------------------------------------+-----------------------------+ - - -+---------------------------------------------------------------------------+ -; LAB Distinct Inputs ; -+---------------------------------------------+-----------------------------+ -; Number of Distinct Inputs (Average = 8.75) ; Number of LABs (Total = 8) ; -+---------------------------------------------+-----------------------------+ -; 0 ; 0 ; -; 1 ; 0 ; -; 2 ; 0 ; -; 3 ; 2 ; -; 4 ; 1 ; -; 5 ; 0 ; -; 6 ; 1 ; -; 7 ; 0 ; -; 8 ; 0 ; -; 9 ; 1 ; -; 10 ; 0 ; -; 11 ; 0 ; -; 12 ; 1 ; -; 13 ; 0 ; -; 14 ; 1 ; -; 15 ; 0 ; -; 16 ; 0 ; -; 17 ; 0 ; -; 18 ; 0 ; -; 19 ; 1 ; -+---------------------------------------------+-----------------------------+ ++------------------------------------------------------------------------------+ +; LAB Distinct Inputs ; ++----------------------------------------------+-------------------------------+ +; Number of Distinct Inputs (Average = 22.75) ; Number of LABs (Total = 854) ; ++----------------------------------------------+-------------------------------+ +; 0 ; 0 ; +; 1 ; 0 ; +; 2 ; 2 ; +; 3 ; 10 ; +; 4 ; 7 ; +; 5 ; 6 ; +; 6 ; 25 ; +; 7 ; 7 ; +; 8 ; 18 ; +; 9 ; 6 ; +; 10 ; 16 ; +; 11 ; 15 ; +; 12 ; 14 ; +; 13 ; 18 ; +; 14 ; 23 ; +; 15 ; 22 ; +; 16 ; 21 ; +; 17 ; 23 ; +; 18 ; 25 ; +; 19 ; 23 ; +; 20 ; 31 ; +; 21 ; 32 ; +; 22 ; 31 ; +; 23 ; 27 ; +; 24 ; 30 ; +; 25 ; 40 ; +; 26 ; 35 ; +; 27 ; 22 ; +; 28 ; 33 ; +; 29 ; 38 ; +; 30 ; 50 ; +; 31 ; 33 ; +; 32 ; 63 ; +; 33 ; 108 ; ++----------------------------------------------+-------------------------------+ +------------------------------------------+ @@ -1325,9 +11069,9 @@ Note: Fitter may spread logical memories into multiple blocks to improve timing. +--------------------+-----------+--------------+-----------+--------------+--------------+-----------+-----------+--------------+-----------+-----------+--------------+--------------+--------------+--------------+--------------+--------------+--------------+--------------+--------------+--------------+--------------+--------------+--------------+--------------+--------------+--------------+--------------+-----------+--------------+--------------+ ; Pin/Rules ; IO_000001 ; IO_000002 ; IO_000003 ; IO_000004 ; IO_000005 ; IO_000006 ; IO_000007 ; IO_000008 ; IO_000009 ; IO_000010 ; IO_000011 ; IO_000012 ; IO_000013 ; IO_000014 ; IO_000015 ; IO_000018 ; IO_000019 ; IO_000020 ; IO_000021 ; IO_000022 ; IO_000023 ; IO_000024 ; IO_000026 ; IO_000027 ; IO_000045 ; IO_000046 ; IO_000047 ; IO_000033 ; IO_000034 ; IO_000042 ; +--------------------+-----------+--------------+-----------+--------------+--------------+-----------+-----------+--------------+-----------+-----------+--------------+--------------+--------------+--------------+--------------+--------------+--------------+--------------+--------------+--------------+--------------+--------------+--------------+--------------+--------------+--------------+--------------+-----------+--------------+--------------+ -; Total Pass ; 23 ; 0 ; 23 ; 0 ; 0 ; 23 ; 23 ; 0 ; 23 ; 23 ; 0 ; 0 ; 0 ; 0 ; 20 ; 0 ; 0 ; 20 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 23 ; 0 ; 0 ; +; Total Pass ; 25 ; 0 ; 25 ; 0 ; 0 ; 25 ; 25 ; 0 ; 25 ; 25 ; 0 ; 0 ; 0 ; 0 ; 22 ; 0 ; 0 ; 22 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 25 ; 0 ; 0 ; ; Total Unchecked ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; -; Total Inapplicable ; 0 ; 23 ; 0 ; 23 ; 23 ; 0 ; 0 ; 23 ; 0 ; 0 ; 23 ; 23 ; 23 ; 23 ; 3 ; 23 ; 23 ; 3 ; 23 ; 23 ; 23 ; 23 ; 23 ; 23 ; 23 ; 23 ; 23 ; 0 ; 23 ; 23 ; +; Total Inapplicable ; 0 ; 25 ; 0 ; 25 ; 25 ; 0 ; 0 ; 25 ; 0 ; 0 ; 25 ; 25 ; 25 ; 25 ; 3 ; 25 ; 25 ; 3 ; 25 ; 25 ; 25 ; 25 ; 25 ; 25 ; 25 ; 25 ; 25 ; 0 ; 25 ; 25 ; ; Total Fail ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; ; FPGA_LED_1 ; Pass ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Pass ; Pass ; Inapplicable ; Pass ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; ; FPGA_LED_2 ; Pass ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Pass ; Pass ; Inapplicable ; Pass ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; @@ -1345,12 +11089,14 @@ Note: Fitter may spread logical memories into multiple blocks to improve timing. ; nWE ; Pass ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Pass ; Pass ; Inapplicable ; Pass ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; ; Address[0] ; Pass ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Pass ; Pass ; Inapplicable ; Pass ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; ; Address[1] ; Pass ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Pass ; Pass ; Inapplicable ; Pass ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; -; Address[2] ; Pass ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Pass ; Pass ; Inapplicable ; Pass ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; -; Address[3] ; Pass ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Pass ; Pass ; Inapplicable ; Pass ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; -; Address[4] ; Pass ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Pass ; Pass ; Inapplicable ; Pass ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; ; Address[5] ; Pass ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Pass ; Pass ; Inapplicable ; Pass ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; -; Address[6] ; Pass ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Pass ; Pass ; Inapplicable ; Pass ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; +; Address[4] ; Pass ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Pass ; Pass ; Inapplicable ; Pass ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; +; nBL[1] ; Pass ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Pass ; Pass ; Inapplicable ; Pass ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; +; nBL[0] ; Pass ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Pass ; Pass ; Inapplicable ; Pass ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; +; Address[3] ; Pass ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Pass ; Pass ; Inapplicable ; Pass ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; +; Address[2] ; Pass ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Pass ; Pass ; Inapplicable ; Pass ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; ; Address[7] ; Pass ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Pass ; Pass ; Inapplicable ; Pass ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; +; Address[6] ; Pass ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Pass ; Pass ; Inapplicable ; Pass ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; ; nOE ; Pass ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Pass ; Pass ; Inapplicable ; Pass ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; +--------------------+-----------+--------------+-----------+--------------+--------------+-----------+-----------+--------------+-----------+-----------+--------------+--------------+--------------+--------------+--------------+--------------+--------------+--------------+--------------+--------------+--------------+--------------+--------------+--------------+--------------+--------------+--------------+-----------+--------------+--------------+ @@ -1414,7 +11160,6 @@ Info (169124): Fitter converted 5 user pins into dedicated programming pins Info (169125): Pin ~ALTERA_DATA0~ is reserved at location 24 Info (169125): Pin ~ALTERA_nCEO~ is reserved at location 162 Warning (15714): Some pins have incomplete I/O assignments. Refer to the I/O Assignment Warnings report for details -Info (176045): Design uses memory blocks. Violating setup or hold times of memory block address registers for either read or write operations could cause memory contents to be corrupted. Make sure that all memory block address registers meet the setup and hold time requirements. Critical Warning (332012): Synopsys Design Constraints File file not found: 'MainController.sdc'. A Synopsys Design Constraints File is required by the TimeQuest Timing Analyzer to get proper timing constraints. Without it, the Compiler will not properly optimize the design. Info (332144): No user constrained generated clocks found in the design Info (332144): No user constrained base clocks found in the design @@ -1434,26 +11179,26 @@ Info (176235): Finished register packing Warning (15058): PLL "AlteraPLL:inst|altpll:altpll_component|AlteraPLL_altpll:auto_generated|pll1" is in normal or source synchronous mode with output clock "compensate_clock" set to clk[0] that is not fully compensated because it feeds an output pin -- only PLLs in zero delay buffer mode can fully compensate output pins Warning (15064): PLL "AlteraPLL:inst|altpll:altpll_component|AlteraPLL_altpll:auto_generated|pll1" output port clk[0] feeds output pin "FPGA_LED_2~output" via non-dedicated routing -- jitter performance depends on switching rate of other design elements. Use PLL dedicated clock outputs to ensure jitter performance Warning (15064): PLL "AlteraPLL:inst|altpll:altpll_component|AlteraPLL_altpll:auto_generated|pll1" output port clk[1] feeds output pin "FPGA_LED_3~output" via non-dedicated routing -- jitter performance depends on switching rate of other design elements. Use PLL dedicated clock outputs to ensure jitter performance -Info (171121): Fitter preparation operations ending: elapsed time is 00:00:01 +Info (171121): Fitter preparation operations ending: elapsed time is 00:00:05 Info (170189): Fitter placement preparation operations beginning -Info (170190): Fitter placement preparation operations ending: elapsed time is 00:00:00 +Info (170190): Fitter placement preparation operations ending: elapsed time is 00:00:03 Info (170191): Fitter placement operations beginning Info (170137): Fitter placement was successful -Info (170192): Fitter placement operations ending: elapsed time is 00:00:00 +Info (170192): Fitter placement operations ending: elapsed time is 00:00:07 Info (170193): Fitter routing operations beginning -Info (170195): Router estimated average interconnect usage is 0% of the available device resources - Info (170196): Router estimated peak interconnect usage is 2% of the available device resources in the region that extends from location X32_Y23 to location X42_Y34 -Info (170194): Fitter routing operations ending: elapsed time is 00:00:00 +Info (170195): Router estimated average interconnect usage is 29% of the available device resources + Info (170196): Router estimated peak interconnect usage is 63% of the available device resources in the region that extends from location X21_Y11 to location X31_Y22 +Info (170194): Fitter routing operations ending: elapsed time is 00:00:12 Info (170199): The Fitter performed an Auto Fit compilation. Optimizations were skipped to reduce compilation time. Info (170201): Optimizations that may affect the design's routability were skipped Info (170200): Optimizations that may affect the design's timing were skipped -Info (11888): Total time spent on timing analysis during the Fitter is 0.20 seconds. +Info (11888): Total time spent on timing analysis during the Fitter is 3.25 seconds. Info (334003): Started post-fitting delay annotation Info (334004): Delay annotation completed successfully Info (334003): Started post-fitting delay annotation Info (334004): Delay annotation completed successfully -Info (11218): Fitter post-fit operations ending: elapsed time is 00:00:01 -Warning (169177): 20 pins must meet Altera requirements for 3.3-, 3.0-, and 2.5-V interfaces. For more information, refer to AN 447: Interfacing Cyclone III Devices with 3.3/3.0/2.5-V LVTTL/LVCMOS I/O Systems. +Info (11218): Fitter post-fit operations ending: elapsed time is 00:00:04 +Warning (169177): 22 pins must meet Altera requirements for 3.3-, 3.0-, and 2.5-V interfaces. For more information, refer to AN 447: Interfacing Cyclone III Devices with 3.3/3.0/2.5-V LVTTL/LVCMOS I/O Systems. Info (169178): Pin Data[7] uses I/O standard 3.3-V LVTTL at 221 Info (169178): Pin Data[6] uses I/O standard 3.3-V LVTTL at 223 Info (169178): Pin Data[5] uses I/O standard 3.3-V LVTTL at 224 @@ -1467,19 +11212,21 @@ Warning (169177): 20 pins must meet Altera requirements for 3.3-, 3.0-, and 2.5- Info (169178): Pin nWE uses I/O standard 3.3-V LVTTL at 218 Info (169178): Pin Address[0] uses I/O standard 3.3-V LVTTL at 196 Info (169178): Pin Address[1] uses I/O standard 3.3-V LVTTL at 231 - Info (169178): Pin Address[2] uses I/O standard 3.3-V LVTTL at 234 - Info (169178): Pin Address[3] uses I/O standard 3.3-V LVTTL at 233 - Info (169178): Pin Address[4] uses I/O standard 3.3-V LVTTL at 232 Info (169178): Pin Address[5] uses I/O standard 3.3-V LVTTL at 235 - Info (169178): Pin Address[6] uses I/O standard 3.3-V LVTTL at 230 + Info (169178): Pin Address[4] uses I/O standard 3.3-V LVTTL at 232 + Info (169178): Pin nBL[1] uses I/O standard 2.5 V at 197 + Info (169178): Pin nBL[0] uses I/O standard 2.5 V at 200 + Info (169178): Pin Address[3] uses I/O standard 3.3-V LVTTL at 233 + Info (169178): Pin Address[2] uses I/O standard 3.3-V LVTTL at 234 Info (169178): Pin Address[7] uses I/O standard 3.3-V LVTTL at 195 + Info (169178): Pin Address[6] uses I/O standard 3.3-V LVTTL at 230 Info (169178): Pin nOE uses I/O standard 3.3-V LVTTL at 217 Info (144001): Generated suppressed messages file D:/GITEA/altera/MainController/output_files/MainController.fit.smsg Info: Quartus II 64-Bit Fitter was successful. 0 errors, 6 warnings - Info: Peak virtual memory: 5391 megabytes - Info: Processing ended: Tue Mar 12 17:46:50 2024 - Info: Elapsed time: 00:00:05 - Info: Total CPU time (on all processors): 00:00:05 + Info: Peak virtual memory: 5541 megabytes + Info: Processing ended: Thu Mar 14 11:15:34 2024 + Info: Elapsed time: 00:00:39 + Info: Total CPU time (on all processors): 00:00:55 +----------------------------+ diff --git a/MainController/output_files/MainController.fit.summary b/MainController/output_files/MainController.fit.summary index a9944fe..1afb9d2 100644 --- a/MainController/output_files/MainController.fit.summary +++ b/MainController/output_files/MainController.fit.summary @@ -1,16 +1,16 @@ -Fitter Status : Successful - Tue Mar 12 17:46:50 2024 +Fitter Status : Successful - Thu Mar 14 11:15:33 2024 Quartus II 64-Bit Version : 13.1.0 Build 162 10/23/2013 SJ Full Version Revision Name : MainController Top-level Entity Name : MainController Family : Cyclone III Device : EP3C25Q240C8 Timing Models : Final -Total logic elements : 95 / 24,624 ( < 1 % ) - Total combinational functions : 67 / 24,624 ( < 1 % ) - Dedicated logic registers : 80 / 24,624 ( < 1 % ) -Total registers : 80 -Total pins : 23 / 149 ( 15 % ) +Total logic elements : 10,706 / 24,624 ( 43 % ) + Total combinational functions : 6,603 / 24,624 ( 27 % ) + Dedicated logic registers : 8,249 / 24,624 ( 33 % ) +Total registers : 8249 +Total pins : 25 / 149 ( 17 % ) Total virtual pins : 0 -Total memory bits : 2,048 / 608,256 ( < 1 % ) +Total memory bits : 0 / 608,256 ( 0 % ) Embedded Multiplier 9-bit elements : 0 / 132 ( 0 % ) Total PLLs : 1 / 4 ( 25 % ) diff --git a/MainController/output_files/MainController.flow.rpt b/MainController/output_files/MainController.flow.rpt index b51a9ef..53b042c 100644 --- a/MainController/output_files/MainController.flow.rpt +++ b/MainController/output_files/MainController.flow.rpt @@ -1,5 +1,5 @@ Flow report for MainController -Tue Mar 12 17:46:57 2024 +Thu Mar 14 11:15:55 2024 Quartus II 64-Bit Version 13.1.0 Build 162 10/23/2013 SJ Full Version @@ -40,20 +40,20 @@ applicable agreement for further details. +----------------------------------------------------------------------------------+ ; Flow Summary ; +------------------------------------+---------------------------------------------+ -; Flow Status ; Successful - Tue Mar 12 17:46:57 2024 ; +; Flow Status ; Successful - Thu Mar 14 11:15:55 2024 ; ; Quartus II 64-Bit Version ; 13.1.0 Build 162 10/23/2013 SJ Full Version ; ; Revision Name ; MainController ; ; Top-level Entity Name ; MainController ; ; Family ; Cyclone III ; ; Device ; EP3C25Q240C8 ; ; Timing Models ; Final ; -; Total logic elements ; 95 / 24,624 ( < 1 % ) ; -; Total combinational functions ; 67 / 24,624 ( < 1 % ) ; -; Dedicated logic registers ; 80 / 24,624 ( < 1 % ) ; -; Total registers ; 80 ; -; Total pins ; 23 / 149 ( 15 % ) ; +; Total logic elements ; 10,706 / 24,624 ( 43 % ) ; +; Total combinational functions ; 6,603 / 24,624 ( 27 % ) ; +; Dedicated logic registers ; 8,249 / 24,624 ( 33 % ) ; +; Total registers ; 8249 ; +; Total pins ; 25 / 149 ( 17 % ) ; ; Total virtual pins ; 0 ; -; Total memory bits ; 2,048 / 608,256 ( < 1 % ) ; +; Total memory bits ; 0 / 608,256 ( 0 % ) ; ; Embedded Multiplier 9-bit elements ; 0 / 132 ( 0 % ) ; ; Total PLLs ; 1 / 4 ( 25 % ) ; +------------------------------------+---------------------------------------------+ @@ -64,7 +64,7 @@ applicable agreement for further details. +-------------------+---------------------+ ; Option ; Setting ; +-------------------+---------------------+ -; Start date & time ; 03/12/2024 17:46:43 ; +; Start date & time ; 03/14/2024 11:14:32 ; ; Main task ; Compilation ; ; Revision Name ; MainController ; +-------------------+---------------------+ @@ -75,7 +75,7 @@ applicable agreement for further details. +-------------------------------------+--------------------------------+---------------+-------------+----------------+ ; Assignment Name ; Value ; Default Value ; Entity Name ; Section Id ; +-------------------------------------+--------------------------------+---------------+-------------+----------------+ -; COMPILER_SIGNATURE_ID ; 30902508249626.171025480308064 ; -- ; -- ; -- ; +; COMPILER_SIGNATURE_ID ; 30902508249626.171040407115236 ; -- ; -- ; -- ; ; EDA_OUTPUT_DATA_FORMAT ; Vhdl ; -- ; -- ; eda_simulation ; ; EDA_SIMULATION_TOOL ; ModelSim-Altera (VHDL) ; ; -- ; -- ; ; IP_TOOL_NAME ; ALTPLL ; -- ; -- ; -- ; @@ -97,12 +97,12 @@ applicable agreement for further details. +---------------------------+--------------+-------------------------+---------------------+------------------------------------+ ; Module Name ; Elapsed Time ; Average Processors Used ; Peak Virtual Memory ; Total CPU Time (on all processors) ; +---------------------------+--------------+-------------------------+---------------------+------------------------------------+ -; Analysis & Synthesis ; 00:00:02 ; 1.0 ; 4712 MB ; 00:00:02 ; -; Fitter ; 00:00:05 ; 1.0 ; 5391 MB ; 00:00:05 ; -; Assembler ; 00:00:01 ; 1.0 ; 4585 MB ; 00:00:01 ; -; TimeQuest Timing Analyzer ; 00:00:02 ; 1.0 ; 4700 MB ; 00:00:01 ; -; EDA Netlist Writer ; 00:00:01 ; 1.0 ; 4565 MB ; 00:00:01 ; -; Total ; 00:00:11 ; -- ; -- ; 00:00:10 ; +; Analysis & Synthesis ; 00:00:21 ; 1.0 ; 4793 MB ; 00:00:21 ; +; Fitter ; 00:00:38 ; 2.2 ; 5541 MB ; 00:00:53 ; +; Assembler ; 00:00:02 ; 1.0 ; 4629 MB ; 00:00:02 ; +; TimeQuest Timing Analyzer ; 00:00:05 ; 1.8 ; 4845 MB ; 00:00:08 ; +; EDA Netlist Writer ; 00:00:09 ; 1.0 ; 4655 MB ; 00:00:09 ; +; Total ; 00:01:15 ; -- ; -- ; 00:01:33 ; +---------------------------+--------------+-------------------------+---------------------+------------------------------------+ diff --git a/MainController/output_files/MainController.jdi b/MainController/output_files/MainController.jdi index f7b89df..bde8d11 100644 --- a/MainController/output_files/MainController.jdi +++ b/MainController/output_files/MainController.jdi @@ -1,6 +1,6 @@ - + diff --git a/MainController/output_files/MainController.map.rpt b/MainController/output_files/MainController.map.rpt index 790be23..950a930 100644 --- a/MainController/output_files/MainController.map.rpt +++ b/MainController/output_files/MainController.map.rpt @@ -1,5 +1,5 @@ Analysis & Synthesis report for MainController -Tue Mar 12 17:46:44 2024 +Thu Mar 14 11:14:54 2024 Quartus II 64-Bit Version 13.1.0 Build 162 10/23/2013 SJ Full Version @@ -13,26 +13,18 @@ Quartus II 64-Bit Version 13.1.0 Build 162 10/23/2013 SJ Full Version 5. Analysis & Synthesis Source Files Read 6. Analysis & Synthesis Resource Usage Summary 7. Analysis & Synthesis Resource Utilization by Entity - 8. Analysis & Synthesis RAM Summary - 9. Analysis & Synthesis IP Cores Summary - 10. State Machine - |MainController|RAM:inst3|stateMM1 - 11. State Machine - |MainController|RAM:inst3|stateMM0 - 12. Registers Removed During Synthesis - 13. Removed Registers Triggering Further Register Optimizations - 14. General Register Statistics - 15. Inverted Register Statistics - 16. Registers Added for RAM Pass-Through Logic - 17. Registers Packed Into Inferred Megafunctions - 18. Multiplexer Restructuring Statistics (Restructuring Performed) - 19. Source assignments for RAM:inst3|altsyncram:memory_rtl_1|altsyncram_lkc1:auto_generated - 20. Source assignments for RAM:inst3|altsyncram:memory_rtl_0|altsyncram_8bi1:auto_generated - 21. Parameter Settings for User Entity Instance: AlteraPLL:inst|altpll:altpll_component - 22. Parameter Settings for Inferred Entity Instance: RAM:inst3|altsyncram:memory_rtl_1 - 23. Parameter Settings for Inferred Entity Instance: RAM:inst3|altsyncram:memory_rtl_0 - 24. altpll Parameter Settings by Entity Instance - 25. altsyncram Parameter Settings by Entity Instance - 26. Elapsed Time Per Partition - 27. Analysis & Synthesis Messages + 8. Analysis & Synthesis IP Cores Summary + 9. State Machine - |MainController|RAM:inst1|stateMM1 + 10. State Machine - |MainController|RAM:inst1|stateMM0 + 11. Registers Removed During Synthesis + 12. Removed Registers Triggering Further Register Optimizations + 13. General Register Statistics + 14. Inverted Register Statistics + 15. Multiplexer Restructuring Statistics (Restructuring Performed) + 16. Parameter Settings for User Entity Instance: AlteraPLL:inst|altpll:altpll_component + 17. altpll Parameter Settings by Entity Instance + 18. Elapsed Time Per Partition + 19. Analysis & Synthesis Messages @@ -58,18 +50,18 @@ applicable agreement for further details. +----------------------------------------------------------------------------------+ ; Analysis & Synthesis Summary ; +------------------------------------+---------------------------------------------+ -; Analysis & Synthesis Status ; Successful - Tue Mar 12 17:46:44 2024 ; +; Analysis & Synthesis Status ; Successful - Thu Mar 14 11:14:54 2024 ; ; Quartus II 64-Bit Version ; 13.1.0 Build 162 10/23/2013 SJ Full Version ; ; Revision Name ; MainController ; ; Top-level Entity Name ; MainController ; ; Family ; Cyclone III ; -; Total logic elements ; 102 ; -; Total combinational functions ; 67 ; -; Dedicated logic registers ; 80 ; -; Total registers ; 80 ; -; Total pins ; 23 ; +; Total logic elements ; 14,815 ; +; Total combinational functions ; 6,603 ; +; Dedicated logic registers ; 8,249 ; +; Total registers ; 8249 ; +; Total pins ; 25 ; ; Total virtual pins ; 0 ; -; Total memory bits ; 2,048 ; +; Total memory bits ; 0 ; ; Embedded Multiplier 9-bit elements ; 0 ; ; Total PLLs ; 1 ; +------------------------------------+---------------------------------------------+ @@ -171,40 +163,31 @@ applicable agreement for further details. ; Maximum allowed ; 4 ; ; ; ; ; Average used ; 1.00 ; -; Maximum used ; 1 ; +; Maximum used ; 4 ; ; ; ; ; Usage by Processor ; % Time Used ; ; Processor 1 ; 100.0% ; -; Processors 2-8 ; 0.0% ; +; Processors 2-4 ; < 0.1% ; +; Processors 5-8 ; 0.0% ; +----------------------------+-------------+ -+-----------------------------------------------------------------------------------------------------------------------------------------------------------------------------+ -; Analysis & Synthesis Source Files Read ; -+----------------------------------+-----------------+------------------------------------+-------------------------------------------------------------------------+---------+ -; File Name with User-Entered Path ; Used in Netlist ; File Type ; File Name with Absolute Path ; Library ; -+----------------------------------+-----------------+------------------------------------+-------------------------------------------------------------------------+---------+ -; MainController.bdf ; yes ; User Block Diagram/Schematic File ; D:/GITEA/altera/MainController/MainController.bdf ; ; -; AlteraPLL.vhd ; yes ; User Wizard-Generated File ; D:/GITEA/altera/MainController/AlteraPLL.vhd ; ; -; RAM.vhd ; yes ; User VHDL File ; D:/GITEA/altera/MainController/RAM.vhd ; ; -; LedBlink.vhd ; yes ; User VHDL File ; D:/GITEA/altera/MainController/LedBlink.vhd ; ; -; altpll.tdf ; yes ; Megafunction ; d:/intelfpga/13.1/quartus/libraries/megafunctions/altpll.tdf ; ; -; aglobal131.inc ; yes ; Megafunction ; d:/intelfpga/13.1/quartus/libraries/megafunctions/aglobal131.inc ; ; -; stratix_pll.inc ; yes ; Megafunction ; d:/intelfpga/13.1/quartus/libraries/megafunctions/stratix_pll.inc ; ; -; stratixii_pll.inc ; yes ; Megafunction ; d:/intelfpga/13.1/quartus/libraries/megafunctions/stratixii_pll.inc ; ; -; cycloneii_pll.inc ; yes ; Megafunction ; d:/intelfpga/13.1/quartus/libraries/megafunctions/cycloneii_pll.inc ; ; -; db/alterapll_altpll.v ; yes ; Auto-Generated Megafunction ; D:/GITEA/altera/MainController/db/alterapll_altpll.v ; ; -; altsyncram.tdf ; yes ; Megafunction ; d:/intelfpga/13.1/quartus/libraries/megafunctions/altsyncram.tdf ; ; -; stratix_ram_block.inc ; yes ; Megafunction ; d:/intelfpga/13.1/quartus/libraries/megafunctions/stratix_ram_block.inc ; ; -; lpm_mux.inc ; yes ; Megafunction ; d:/intelfpga/13.1/quartus/libraries/megafunctions/lpm_mux.inc ; ; -; lpm_decode.inc ; yes ; Megafunction ; d:/intelfpga/13.1/quartus/libraries/megafunctions/lpm_decode.inc ; ; -; a_rdenreg.inc ; yes ; Megafunction ; d:/intelfpga/13.1/quartus/libraries/megafunctions/a_rdenreg.inc ; ; -; altrom.inc ; yes ; Megafunction ; d:/intelfpga/13.1/quartus/libraries/megafunctions/altrom.inc ; ; -; altram.inc ; yes ; Megafunction ; d:/intelfpga/13.1/quartus/libraries/megafunctions/altram.inc ; ; -; altdpram.inc ; yes ; Megafunction ; d:/intelfpga/13.1/quartus/libraries/megafunctions/altdpram.inc ; ; -; db/altsyncram_lkc1.tdf ; yes ; Auto-Generated Megafunction ; D:/GITEA/altera/MainController/db/altsyncram_lkc1.tdf ; ; -; db/altsyncram_8bi1.tdf ; yes ; Auto-Generated Megafunction ; D:/GITEA/altera/MainController/db/altsyncram_8bi1.tdf ; ; -+----------------------------------+-----------------+------------------------------------+-------------------------------------------------------------------------+---------+ ++-------------------------------------------------------------------------------------------------------------------------------------------------------------------------+ +; Analysis & Synthesis Source Files Read ; ++----------------------------------+-----------------+------------------------------------+---------------------------------------------------------------------+---------+ +; File Name with User-Entered Path ; Used in Netlist ; File Type ; File Name with Absolute Path ; Library ; ++----------------------------------+-----------------+------------------------------------+---------------------------------------------------------------------+---------+ +; MainController.bdf ; yes ; User Block Diagram/Schematic File ; D:/GITEA/altera/MainController/MainController.bdf ; ; +; AlteraPLL.vhd ; yes ; User Wizard-Generated File ; D:/GITEA/altera/MainController/AlteraPLL.vhd ; ; +; RAM.vhd ; yes ; User VHDL File ; D:/GITEA/altera/MainController/RAM.vhd ; ; +; LedBlink.vhd ; yes ; User VHDL File ; D:/GITEA/altera/MainController/LedBlink.vhd ; ; +; altpll.tdf ; yes ; Megafunction ; d:/intelfpga/13.1/quartus/libraries/megafunctions/altpll.tdf ; ; +; aglobal131.inc ; yes ; Megafunction ; d:/intelfpga/13.1/quartus/libraries/megafunctions/aglobal131.inc ; ; +; stratix_pll.inc ; yes ; Megafunction ; d:/intelfpga/13.1/quartus/libraries/megafunctions/stratix_pll.inc ; ; +; stratixii_pll.inc ; yes ; Megafunction ; d:/intelfpga/13.1/quartus/libraries/megafunctions/stratixii_pll.inc ; ; +; cycloneii_pll.inc ; yes ; Megafunction ; d:/intelfpga/13.1/quartus/libraries/megafunctions/cycloneii_pll.inc ; ; +; db/alterapll_altpll.v ; yes ; Auto-Generated Megafunction ; D:/GITEA/altera/MainController/db/alterapll_altpll.v ; ; ++----------------------------------+-----------------+------------------------------------+---------------------------------------------------------------------+---------+ +--------------------------------------------------------------+ @@ -212,32 +195,31 @@ applicable agreement for further details. +---------------------------------------------+----------------+ ; Resource ; Usage ; +---------------------------------------------+----------------+ -; Estimated Total logic elements ; 102 ; +; Estimated Total logic elements ; 14,815 ; ; ; ; -; Total combinational functions ; 67 ; +; Total combinational functions ; 6603 ; ; Logic element usage by number of LUT inputs ; ; -; -- 4 input functions ; 20 ; -; -- 3 input functions ; 20 ; -; -- <=2 input functions ; 27 ; +; -- 4 input functions ; 5550 ; +; -- 3 input functions ; 260 ; +; -- <=2 input functions ; 793 ; ; ; ; ; Logic elements by mode ; ; -; -- normal mode ; 44 ; +; -- normal mode ; 6580 ; ; -- arithmetic mode ; 23 ; ; ; ; -; Total registers ; 80 ; -; -- Dedicated logic registers ; 80 ; +; Total registers ; 8249 ; +; -- Dedicated logic registers ; 8249 ; ; -- I/O registers ; 0 ; ; ; ; -; I/O pins ; 23 ; -; Total memory bits ; 2048 ; +; I/O pins ; 25 ; ; Embedded Multiplier 9-bit elements ; 0 ; ; Total PLLs ; 1 ; ; -- PLLs ; 1 ; ; ; ; ; Maximum fan-out node ; FPGA_CLK~input ; -; Maximum fan-out ; 89 ; -; Total fan-out ; 622 ; -; Average fan-out ; 2.96 ; +; Maximum fan-out ; 8250 ; +; Total fan-out ; 49361 ; +; Average fan-out ; 3.31 ; +---------------------------------------------+----------------+ @@ -246,27 +228,16 @@ applicable agreement for further details. +--------------------------------------------+-------------------+--------------+-------------+--------------+---------+-----------+------+--------------+----------------------------------------------------------------------------------------+--------------+ ; Compilation Hierarchy Node ; LC Combinationals ; LC Registers ; Memory Bits ; DSP Elements ; DSP 9x9 ; DSP 18x18 ; Pins ; Virtual Pins ; Full Hierarchy Name ; Library Name ; +--------------------------------------------+-------------------+--------------+-------------+--------------+---------+-----------+------+--------------+----------------------------------------------------------------------------------------+--------------+ -; |MainController ; 67 (0) ; 80 (0) ; 2048 ; 0 ; 0 ; 0 ; 23 ; 0 ; |MainController ; work ; +; |MainController ; 6603 (0) ; 8249 (0) ; 0 ; 0 ; 0 ; 0 ; 25 ; 0 ; |MainController ; work ; ; |AlteraPLL:inst| ; 0 (0) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; |MainController|AlteraPLL:inst ; work ; ; |altpll:altpll_component| ; 0 (0) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; |MainController|AlteraPLL:inst|altpll:altpll_component ; work ; ; |AlteraPLL_altpll:auto_generated| ; 0 (0) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; |MainController|AlteraPLL:inst|altpll:altpll_component|AlteraPLL_altpll:auto_generated ; work ; -; |LedBlink:inst2| ; 36 (36) ; 25 (25) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; |MainController|LedBlink:inst2 ; work ; -; |RAM:inst3| ; 31 (31) ; 55 (55) ; 2048 ; 0 ; 0 ; 0 ; 0 ; 0 ; |MainController|RAM:inst3 ; work ; -; |altsyncram:memory_rtl_0| ; 0 (0) ; 0 (0) ; 2048 ; 0 ; 0 ; 0 ; 0 ; 0 ; |MainController|RAM:inst3|altsyncram:memory_rtl_0 ; work ; -; |altsyncram_8bi1:auto_generated| ; 0 (0) ; 0 (0) ; 2048 ; 0 ; 0 ; 0 ; 0 ; 0 ; |MainController|RAM:inst3|altsyncram:memory_rtl_0|altsyncram_8bi1:auto_generated ; work ; +; |LedBlink:inst2| ; 33 (33) ; 25 (25) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; |MainController|LedBlink:inst2 ; work ; +; |RAM:inst1| ; 6570 (6570) ; 8224 (8224) ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; |MainController|RAM:inst1 ; work ; +--------------------------------------------+-------------------+--------------+-------------+--------------+---------+-----------+------+--------------+----------------------------------------------------------------------------------------+--------------+ Note: For table entries with two numbers listed, the numbers in parentheses indicate the number of resources of the given type used by the specific entity alone. The numbers listed outside of parentheses indicate the total resources of the given type used by the specific entity and all of its sub-entities in the hierarchy. -+---------------------------------------------------------------------------------------------------------------------------------------------------------------------------------+ -; Analysis & Synthesis RAM Summary ; -+-----------------------------------------------------------------------------+------+------------------+--------------+--------------+--------------+--------------+------+------+ -; Name ; Type ; Mode ; Port A Depth ; Port A Width ; Port B Depth ; Port B Width ; Size ; MIF ; -+-----------------------------------------------------------------------------+------+------------------+--------------+--------------+--------------+--------------+------+------+ -; RAM:inst3|altsyncram:memory_rtl_0|altsyncram_8bi1:auto_generated|ALTSYNCRAM ; AUTO ; Simple Dual Port ; 256 ; 8 ; 256 ; 8 ; 2048 ; None ; -+-----------------------------------------------------------------------------+------+------------------+--------------+--------------+--------------+--------------+------+------+ - - +-----------------------------------------------------------------------------------------------------------------------------------------------+ ; Analysis & Synthesis IP Cores Summary ; +--------+--------------+---------+--------------+--------------+--------------------------------+----------------------------------------------+ @@ -278,7 +249,7 @@ Note: For table entries with two numbers listed, the numbers in parentheses indi Encoding Type: One-Hot +---------------------------------------------------------------------------+ -; State Machine - |MainController|RAM:inst3|stateMM1 ; +; State Machine - |MainController|RAM:inst1|stateMM1 ; +------------------+------------------+------------------+------------------+ ; Name ; stateMM1.Reading ; stateMM1.Writing ; stateMM1.Waiting ; +------------------+------------------+------------------+------------------+ @@ -290,7 +261,7 @@ Encoding Type: One-Hot Encoding Type: One-Hot +---------------------------------------------------------------------------+ -; State Machine - |MainController|RAM:inst3|stateMM0 ; +; State Machine - |MainController|RAM:inst1|stateMM0 ; +------------------+------------------+------------------+------------------+ ; Name ; stateMM0.Reading ; stateMM0.Writing ; stateMM0.Waiting ; +------------------+------------------+------------------+------------------+ @@ -305,37 +276,110 @@ Encoding Type: One-Hot +----------------------------------------+----------------------------------------+ ; Register name ; Reason for Removal ; +----------------------------------------+----------------------------------------+ -; RAM:inst3|oe1Prev ; Lost fanout ; -; RAM:inst3|ce1Prev ; Stuck at GND due to stuck port data_in ; -; RAM:inst3|we1Prev ; Stuck at GND due to stuck port data_in ; -; RAM:inst3|\process_1:addr[0..7] ; Stuck at GND due to stuck port data_in ; -; RAM:inst3|data1[0]~en ; Lost fanout ; -; RAM:inst3|data1[1]~en ; Lost fanout ; -; RAM:inst3|data1[2]~en ; Lost fanout ; -; RAM:inst3|data1[3]~en ; Lost fanout ; -; RAM:inst3|data1[4]~en ; Lost fanout ; -; RAM:inst3|data1[5]~en ; Lost fanout ; -; RAM:inst3|data1[6]~en ; Lost fanout ; -; RAM:inst3|data1[7]~en ; Lost fanout ; -; RAM:inst3|stateMM1.Reading ; Lost fanout ; -; RAM:inst3|stateMM1.Writing ; Stuck at GND due to stuck port data_in ; -; RAM:inst3|stateMM1.Waiting ; Lost fanout ; -; Total Number of Removed Registers = 22 ; ; +; RAM:inst1|oe1Prev ; Lost fanout ; +; RAM:inst1|ce1Prev ; Stuck at GND due to stuck port data_in ; +; RAM:inst1|we1Prev ; Stuck at GND due to stuck port data_in ; +; RAM:inst1|data1[31]~reg0 ; Lost fanout ; +; RAM:inst1|data1[31]~en ; Lost fanout ; +; RAM:inst1|data1[30]~reg0 ; Lost fanout ; +; RAM:inst1|data1[30]~en ; Lost fanout ; +; RAM:inst1|data1[29]~reg0 ; Lost fanout ; +; RAM:inst1|data1[29]~en ; Lost fanout ; +; RAM:inst1|data1[28]~reg0 ; Lost fanout ; +; RAM:inst1|data1[28]~en ; Lost fanout ; +; RAM:inst1|data1[27]~reg0 ; Lost fanout ; +; RAM:inst1|data1[27]~en ; Lost fanout ; +; RAM:inst1|data1[26]~reg0 ; Lost fanout ; +; RAM:inst1|data1[26]~en ; Lost fanout ; +; RAM:inst1|data1[25]~reg0 ; Lost fanout ; +; RAM:inst1|data1[25]~en ; Lost fanout ; +; RAM:inst1|data1[24]~reg0 ; Lost fanout ; +; RAM:inst1|data1[24]~en ; Lost fanout ; +; RAM:inst1|data1[23]~reg0 ; Lost fanout ; +; RAM:inst1|data1[23]~en ; Lost fanout ; +; RAM:inst1|data1[22]~reg0 ; Lost fanout ; +; RAM:inst1|data1[22]~en ; Lost fanout ; +; RAM:inst1|data1[21]~reg0 ; Lost fanout ; +; RAM:inst1|data1[21]~en ; Lost fanout ; +; RAM:inst1|data1[20]~reg0 ; Lost fanout ; +; RAM:inst1|data1[20]~en ; Lost fanout ; +; RAM:inst1|data1[19]~reg0 ; Lost fanout ; +; RAM:inst1|data1[19]~en ; Lost fanout ; +; RAM:inst1|data1[18]~reg0 ; Lost fanout ; +; RAM:inst1|data1[18]~en ; Lost fanout ; +; RAM:inst1|data1[17]~reg0 ; Lost fanout ; +; RAM:inst1|data1[17]~en ; Lost fanout ; +; RAM:inst1|data1[16]~reg0 ; Lost fanout ; +; RAM:inst1|data1[16]~en ; Lost fanout ; +; RAM:inst1|data1[15]~reg0 ; Lost fanout ; +; RAM:inst1|data1[15]~en ; Lost fanout ; +; RAM:inst1|data1[14]~reg0 ; Lost fanout ; +; RAM:inst1|data1[14]~en ; Lost fanout ; +; RAM:inst1|data1[13]~reg0 ; Lost fanout ; +; RAM:inst1|data1[13]~en ; Lost fanout ; +; RAM:inst1|data1[12]~reg0 ; Lost fanout ; +; RAM:inst1|data1[12]~en ; Lost fanout ; +; RAM:inst1|data1[11]~reg0 ; Lost fanout ; +; RAM:inst1|data1[11]~en ; Lost fanout ; +; RAM:inst1|data1[10]~reg0 ; Lost fanout ; +; RAM:inst1|data1[10]~en ; Lost fanout ; +; RAM:inst1|data1[9]~reg0 ; Lost fanout ; +; RAM:inst1|data1[9]~en ; Lost fanout ; +; RAM:inst1|data1[8]~reg0 ; Lost fanout ; +; RAM:inst1|data1[8]~en ; Lost fanout ; +; RAM:inst1|data1[7]~reg0 ; Lost fanout ; +; RAM:inst1|data1[7]~en ; Lost fanout ; +; RAM:inst1|data1[6]~reg0 ; Lost fanout ; +; RAM:inst1|data1[6]~en ; Lost fanout ; +; RAM:inst1|data1[5]~reg0 ; Lost fanout ; +; RAM:inst1|data1[5]~en ; Lost fanout ; +; RAM:inst1|data1[4]~reg0 ; Lost fanout ; +; RAM:inst1|data1[4]~en ; Lost fanout ; +; RAM:inst1|data1[3]~reg0 ; Lost fanout ; +; RAM:inst1|data1[3]~en ; Lost fanout ; +; RAM:inst1|data1[2]~reg0 ; Lost fanout ; +; RAM:inst1|data1[2]~en ; Lost fanout ; +; RAM:inst1|data1[1]~reg0 ; Lost fanout ; +; RAM:inst1|data1[1]~en ; Lost fanout ; +; RAM:inst1|data1[0]~reg0 ; Lost fanout ; +; RAM:inst1|data1[0]~en ; Lost fanout ; +; RAM:inst1|addr1[0..7] ; Lost fanout ; +; RAM:inst1|stateMM1.Waiting ; Lost fanout ; +; RAM:inst1|stateMM1.Writing ; Lost fanout ; +; RAM:inst1|stateMM1.Reading ; Lost fanout ; +; Total Number of Removed Registers = 78 ; ; +----------------------------------------+----------------------------------------+ -+----------------------------------------------------------------------------------------------------------------------+ -; Removed Registers Triggering Further Register Optimizations ; -+----------------------------+---------------------------+-------------------------------------------------------------+ -; Register name ; Reason for Removal ; Registers Removed due to This Register ; -+----------------------------+---------------------------+-------------------------------------------------------------+ -; RAM:inst3|ce1Prev ; Stuck at GND ; RAM:inst3|\process_1:addr[0], RAM:inst3|\process_1:addr[1], ; -; ; due to stuck port data_in ; RAM:inst3|\process_1:addr[2], RAM:inst3|\process_1:addr[3], ; -; ; ; RAM:inst3|\process_1:addr[4], RAM:inst3|\process_1:addr[5], ; -; ; ; RAM:inst3|\process_1:addr[6], RAM:inst3|\process_1:addr[7] ; -; RAM:inst3|stateMM1.Writing ; Stuck at GND ; RAM:inst3|stateMM1.Waiting ; -; ; due to stuck port data_in ; ; -+----------------------------+---------------------------+-------------------------------------------------------------+ ++------------------------------------------------------------------------------------------------------------------------------------+ +; Removed Registers Triggering Further Register Optimizations ; ++-------------------+---------------------------+------------------------------------------------------------------------------------+ +; Register name ; Reason for Removal ; Registers Removed due to This Register ; ++-------------------+---------------------------+------------------------------------------------------------------------------------+ +; RAM:inst1|we1Prev ; Stuck at GND ; RAM:inst1|data1[31]~reg0, RAM:inst1|data1[31]~en, RAM:inst1|data1[30]~reg0, ; +; ; due to stuck port data_in ; RAM:inst1|data1[30]~en, RAM:inst1|data1[29]~reg0, RAM:inst1|data1[29]~en, ; +; ; ; RAM:inst1|data1[28]~reg0, RAM:inst1|data1[28]~en, RAM:inst1|data1[27]~reg0, ; +; ; ; RAM:inst1|data1[27]~en, RAM:inst1|data1[26]~reg0, RAM:inst1|data1[26]~en, ; +; ; ; RAM:inst1|data1[25]~reg0, RAM:inst1|data1[25]~en, RAM:inst1|data1[24]~reg0, ; +; ; ; RAM:inst1|data1[24]~en, RAM:inst1|data1[23]~reg0, RAM:inst1|data1[23]~en, ; +; ; ; RAM:inst1|data1[22]~reg0, RAM:inst1|data1[22]~en, RAM:inst1|data1[21]~reg0, ; +; ; ; RAM:inst1|data1[21]~en, RAM:inst1|data1[20]~reg0, RAM:inst1|data1[20]~en, ; +; ; ; RAM:inst1|data1[19]~reg0, RAM:inst1|data1[19]~en, RAM:inst1|data1[18]~reg0, ; +; ; ; RAM:inst1|data1[18]~en, RAM:inst1|data1[17]~reg0, RAM:inst1|data1[17]~en, ; +; ; ; RAM:inst1|data1[16]~reg0, RAM:inst1|data1[16]~en, RAM:inst1|data1[15]~reg0, ; +; ; ; RAM:inst1|data1[15]~en, RAM:inst1|data1[14]~reg0, RAM:inst1|data1[14]~en, ; +; ; ; RAM:inst1|data1[13]~reg0, RAM:inst1|data1[13]~en, RAM:inst1|data1[12]~reg0, ; +; ; ; RAM:inst1|data1[12]~en, RAM:inst1|data1[11]~reg0, RAM:inst1|data1[11]~en, ; +; ; ; RAM:inst1|data1[10]~reg0, RAM:inst1|data1[10]~en, RAM:inst1|data1[9]~reg0, ; +; ; ; RAM:inst1|data1[9]~en, RAM:inst1|data1[8]~reg0, RAM:inst1|data1[8]~en, ; +; ; ; RAM:inst1|data1[7]~reg0, RAM:inst1|data1[7]~en, RAM:inst1|data1[6]~reg0, ; +; ; ; RAM:inst1|data1[6]~en, RAM:inst1|data1[5]~reg0, RAM:inst1|data1[5]~en, ; +; ; ; RAM:inst1|data1[4]~reg0, RAM:inst1|data1[4]~en, RAM:inst1|data1[3]~reg0, ; +; ; ; RAM:inst1|data1[3]~en, RAM:inst1|data1[2]~reg0, RAM:inst1|data1[2]~en, ; +; ; ; RAM:inst1|data1[1]~reg0, RAM:inst1|data1[1]~en, RAM:inst1|data1[0]~reg0, ; +; ; ; RAM:inst1|data1[0]~en, RAM:inst1|addr1[4], RAM:inst1|addr1[5], RAM:inst1|addr1[6], ; +; ; ; RAM:inst1|addr1[7] ; ++-------------------+---------------------------+------------------------------------------------------------------------------------+ +------------------------------------------------------+ @@ -343,12 +387,12 @@ Encoding Type: One-Hot +----------------------------------------------+-------+ ; Statistic ; Value ; +----------------------------------------------+-------+ -; Total registers ; 80 ; +; Total registers ; 8249 ; ; Number of registers using Synchronous Clear ; 24 ; ; Number of registers using Synchronous Load ; 0 ; ; Number of registers using Asynchronous Clear ; 0 ; ; Number of registers using Asynchronous Load ; 0 ; -; Number of registers using Clock Enable ; 34 ; +; Number of registers using Clock Enable ; 8220 ; ; Number of registers using Preset ; 0 ; +----------------------------------------------+-------+ @@ -363,81 +407,15 @@ Encoding Type: One-Hot +----------------------------------------+---------+ -+------------------------------------------------------------+ -; Registers Added for RAM Pass-Through Logic ; -+-----------------------------------+------------------------+ -; Register Name ; RAM Name ; -+-----------------------------------+------------------------+ -; RAM:inst3|memory_rtl_0_bypass[0] ; RAM:inst3|memory_rtl_0 ; -; RAM:inst3|memory_rtl_0_bypass[1] ; RAM:inst3|memory_rtl_0 ; -; RAM:inst3|memory_rtl_0_bypass[2] ; RAM:inst3|memory_rtl_0 ; -; RAM:inst3|memory_rtl_0_bypass[3] ; RAM:inst3|memory_rtl_0 ; -; RAM:inst3|memory_rtl_0_bypass[4] ; RAM:inst3|memory_rtl_0 ; -; RAM:inst3|memory_rtl_0_bypass[5] ; RAM:inst3|memory_rtl_0 ; -; RAM:inst3|memory_rtl_0_bypass[6] ; RAM:inst3|memory_rtl_0 ; -; RAM:inst3|memory_rtl_0_bypass[7] ; RAM:inst3|memory_rtl_0 ; -; RAM:inst3|memory_rtl_0_bypass[8] ; RAM:inst3|memory_rtl_0 ; -; RAM:inst3|memory_rtl_0_bypass[9] ; RAM:inst3|memory_rtl_0 ; -; RAM:inst3|memory_rtl_0_bypass[10] ; RAM:inst3|memory_rtl_0 ; -; RAM:inst3|memory_rtl_0_bypass[11] ; RAM:inst3|memory_rtl_0 ; -; RAM:inst3|memory_rtl_0_bypass[12] ; RAM:inst3|memory_rtl_0 ; -; RAM:inst3|memory_rtl_0_bypass[13] ; RAM:inst3|memory_rtl_0 ; -; RAM:inst3|memory_rtl_0_bypass[14] ; RAM:inst3|memory_rtl_0 ; -; RAM:inst3|memory_rtl_0_bypass[15] ; RAM:inst3|memory_rtl_0 ; -; RAM:inst3|memory_rtl_0_bypass[16] ; RAM:inst3|memory_rtl_0 ; -; RAM:inst3|memory_rtl_0_bypass[17] ; RAM:inst3|memory_rtl_0 ; -; RAM:inst3|memory_rtl_0_bypass[18] ; RAM:inst3|memory_rtl_0 ; -; RAM:inst3|memory_rtl_0_bypass[19] ; RAM:inst3|memory_rtl_0 ; -; RAM:inst3|memory_rtl_0_bypass[20] ; RAM:inst3|memory_rtl_0 ; -; RAM:inst3|memory_rtl_0_bypass[21] ; RAM:inst3|memory_rtl_0 ; -; RAM:inst3|memory_rtl_0_bypass[22] ; RAM:inst3|memory_rtl_0 ; -; RAM:inst3|memory_rtl_0_bypass[23] ; RAM:inst3|memory_rtl_0 ; -; RAM:inst3|memory_rtl_0_bypass[24] ; RAM:inst3|memory_rtl_0 ; -+-----------------------------------+------------------------+ - - -+---------------------------------------------------------+ -; Registers Packed Into Inferred Megafunctions ; -+-------------------------+------------------------+------+ -; Register Name ; Megafunction ; Type ; -+-------------------------+------------------------+------+ -; RAM:inst3|data1[0]~reg0 ; RAM:inst3|memory_rtl_1 ; RAM ; -; RAM:inst3|data1[1]~reg0 ; RAM:inst3|memory_rtl_1 ; RAM ; -; RAM:inst3|data1[2]~reg0 ; RAM:inst3|memory_rtl_1 ; RAM ; -; RAM:inst3|data1[3]~reg0 ; RAM:inst3|memory_rtl_1 ; RAM ; -; RAM:inst3|data1[4]~reg0 ; RAM:inst3|memory_rtl_1 ; RAM ; -; RAM:inst3|data1[5]~reg0 ; RAM:inst3|memory_rtl_1 ; RAM ; -; RAM:inst3|data1[6]~reg0 ; RAM:inst3|memory_rtl_1 ; RAM ; -; RAM:inst3|data1[7]~reg0 ; RAM:inst3|memory_rtl_1 ; RAM ; -+-------------------------+------------------------+------+ - - -+----------------------------------------------------------------------------------------------------------------------------------------------------+ -; Multiplexer Restructuring Statistics (Restructuring Performed) ; -+--------------------+-----------+---------------+----------------------+------------------------+------------+--------------------------------------+ -; Multiplexer Inputs ; Bus Width ; Baseline Area ; Area if Restructured ; Saving if Restructured ; Registered ; Example Multiplexer Output ; -+--------------------+-----------+---------------+----------------------+------------------------+------------+--------------------------------------+ -; 3:1 ; 2 bits ; 4 LEs ; 2 LEs ; 2 LEs ; No ; |MainController|RAM:inst3|Selector32 ; -; 6:1 ; 2 bits ; 8 LEs ; 4 LEs ; 4 LEs ; No ; |MainController|RAM:inst3|Selector3 ; -+--------------------+-----------+---------------+----------------------+------------------------+------------+--------------------------------------+ - - -+-----------------------------------------------------------------------------------------+ -; Source assignments for RAM:inst3|altsyncram:memory_rtl_1|altsyncram_lkc1:auto_generated ; -+---------------------------------+--------------------+------+---------------------------+ -; Assignment ; Value ; From ; To ; -+---------------------------------+--------------------+------+---------------------------+ -; OPTIMIZE_POWER_DURING_SYNTHESIS ; NORMAL_COMPILATION ; - ; - ; -+---------------------------------+--------------------+------+---------------------------+ - - -+-----------------------------------------------------------------------------------------+ -; Source assignments for RAM:inst3|altsyncram:memory_rtl_0|altsyncram_8bi1:auto_generated ; -+---------------------------------+--------------------+------+---------------------------+ -; Assignment ; Value ; From ; To ; -+---------------------------------+--------------------+------+---------------------------+ -; OPTIMIZE_POWER_DURING_SYNTHESIS ; NORMAL_COMPILATION ; - ; - ; -+---------------------------------+--------------------+------+---------------------------+ ++-------------------------------------------------------------------------------------------------------------------------------------------------------+ +; Multiplexer Restructuring Statistics (Restructuring Performed) ; ++--------------------+-----------+---------------+----------------------+------------------------+------------+-----------------------------------------+ +; Multiplexer Inputs ; Bus Width ; Baseline Area ; Area if Restructured ; Saving if Restructured ; Registered ; Example Multiplexer Output ; ++--------------------+-----------+---------------+----------------------+------------------------+------------+-----------------------------------------+ +; 1024:1 ; 8 bits ; 5456 LEs ; 5456 LEs ; 0 LEs ; Yes ; |MainController|RAM:inst1|data0[7]~reg0 ; +; 3:1 ; 2 bits ; 4 LEs ; 2 LEs ; 2 LEs ; No ; |MainController|RAM:inst1|Selector33 ; +; 6:1 ; 2 bits ; 8 LEs ; 4 LEs ; 4 LEs ; No ; |MainController|RAM:inst1|Selector30 ; ++--------------------+-----------+---------------+----------------------+------------------------+------------+-----------------------------------------+ +-------------------------------------------------------------------------------------+ @@ -814,128 +792,6 @@ Encoding Type: One-Hot Note: In order to hide this table in the UI and the text report file, please set the "Show Parameter Settings in Synthesis Report" option in "Analysis and Synthesis Settings -> More Settings" to "Off". -+------------------------------------------------------------------------------------+ -; Parameter Settings for Inferred Entity Instance: RAM:inst3|altsyncram:memory_rtl_1 ; -+------------------------------------+----------------------+------------------------+ -; Parameter Name ; Value ; Type ; -+------------------------------------+----------------------+------------------------+ -; BYTE_SIZE_BLOCK ; 8 ; Untyped ; -; AUTO_CARRY_CHAINS ; ON ; AUTO_CARRY ; -; IGNORE_CARRY_BUFFERS ; OFF ; IGNORE_CARRY ; -; AUTO_CASCADE_CHAINS ; ON ; AUTO_CASCADE ; -; IGNORE_CASCADE_BUFFERS ; OFF ; IGNORE_CASCADE ; -; WIDTH_BYTEENA ; 1 ; Untyped ; -; OPERATION_MODE ; DUAL_PORT ; Untyped ; -; WIDTH_A ; 8 ; Untyped ; -; WIDTHAD_A ; 8 ; Untyped ; -; NUMWORDS_A ; 256 ; Untyped ; -; OUTDATA_REG_A ; UNREGISTERED ; Untyped ; -; ADDRESS_ACLR_A ; NONE ; Untyped ; -; OUTDATA_ACLR_A ; NONE ; Untyped ; -; WRCONTROL_ACLR_A ; NONE ; Untyped ; -; INDATA_ACLR_A ; NONE ; Untyped ; -; BYTEENA_ACLR_A ; NONE ; Untyped ; -; WIDTH_B ; 8 ; Untyped ; -; WIDTHAD_B ; 8 ; Untyped ; -; NUMWORDS_B ; 256 ; Untyped ; -; INDATA_REG_B ; CLOCK1 ; Untyped ; -; WRCONTROL_WRADDRESS_REG_B ; CLOCK1 ; Untyped ; -; RDCONTROL_REG_B ; CLOCK1 ; Untyped ; -; ADDRESS_REG_B ; CLOCK1 ; Untyped ; -; OUTDATA_REG_B ; UNREGISTERED ; Untyped ; -; BYTEENA_REG_B ; CLOCK1 ; Untyped ; -; INDATA_ACLR_B ; NONE ; Untyped ; -; WRCONTROL_ACLR_B ; NONE ; Untyped ; -; ADDRESS_ACLR_B ; NONE ; Untyped ; -; OUTDATA_ACLR_B ; NONE ; Untyped ; -; RDCONTROL_ACLR_B ; NONE ; Untyped ; -; BYTEENA_ACLR_B ; NONE ; Untyped ; -; WIDTH_BYTEENA_A ; 1 ; Untyped ; -; WIDTH_BYTEENA_B ; 1 ; Untyped ; -; RAM_BLOCK_TYPE ; AUTO ; Untyped ; -; BYTE_SIZE ; 8 ; Untyped ; -; READ_DURING_WRITE_MODE_MIXED_PORTS ; DONT_CARE ; Untyped ; -; READ_DURING_WRITE_MODE_PORT_A ; NEW_DATA_NO_NBE_READ ; Untyped ; -; READ_DURING_WRITE_MODE_PORT_B ; NEW_DATA_NO_NBE_READ ; Untyped ; -; INIT_FILE ; UNUSED ; Untyped ; -; INIT_FILE_LAYOUT ; PORT_A ; Untyped ; -; MAXIMUM_DEPTH ; 0 ; Untyped ; -; CLOCK_ENABLE_INPUT_A ; NORMAL ; Untyped ; -; CLOCK_ENABLE_INPUT_B ; NORMAL ; Untyped ; -; CLOCK_ENABLE_OUTPUT_A ; NORMAL ; Untyped ; -; CLOCK_ENABLE_OUTPUT_B ; NORMAL ; Untyped ; -; CLOCK_ENABLE_CORE_A ; USE_INPUT_CLKEN ; Untyped ; -; CLOCK_ENABLE_CORE_B ; USE_INPUT_CLKEN ; Untyped ; -; ENABLE_ECC ; FALSE ; Untyped ; -; ECC_PIPELINE_STAGE_ENABLED ; FALSE ; Untyped ; -; WIDTH_ECCSTATUS ; 3 ; Untyped ; -; DEVICE_FAMILY ; Cyclone III ; Untyped ; -; CBXI_PARAMETER ; altsyncram_lkc1 ; Untyped ; -+------------------------------------+----------------------+------------------------+ -Note: In order to hide this table in the UI and the text report file, please set the "Show Parameter Settings in Synthesis Report" option in "Analysis and Synthesis Settings -> More Settings" to "Off". - - -+------------------------------------------------------------------------------------+ -; Parameter Settings for Inferred Entity Instance: RAM:inst3|altsyncram:memory_rtl_0 ; -+------------------------------------+----------------------+------------------------+ -; Parameter Name ; Value ; Type ; -+------------------------------------+----------------------+------------------------+ -; BYTE_SIZE_BLOCK ; 8 ; Untyped ; -; AUTO_CARRY_CHAINS ; ON ; AUTO_CARRY ; -; IGNORE_CARRY_BUFFERS ; OFF ; IGNORE_CARRY ; -; AUTO_CASCADE_CHAINS ; ON ; AUTO_CASCADE ; -; IGNORE_CASCADE_BUFFERS ; OFF ; IGNORE_CASCADE ; -; WIDTH_BYTEENA ; 1 ; Untyped ; -; OPERATION_MODE ; DUAL_PORT ; Untyped ; -; WIDTH_A ; 8 ; Untyped ; -; WIDTHAD_A ; 8 ; Untyped ; -; NUMWORDS_A ; 256 ; Untyped ; -; OUTDATA_REG_A ; UNREGISTERED ; Untyped ; -; ADDRESS_ACLR_A ; NONE ; Untyped ; -; OUTDATA_ACLR_A ; NONE ; Untyped ; -; WRCONTROL_ACLR_A ; NONE ; Untyped ; -; INDATA_ACLR_A ; NONE ; Untyped ; -; BYTEENA_ACLR_A ; NONE ; Untyped ; -; WIDTH_B ; 8 ; Untyped ; -; WIDTHAD_B ; 8 ; Untyped ; -; NUMWORDS_B ; 256 ; Untyped ; -; INDATA_REG_B ; CLOCK1 ; Untyped ; -; WRCONTROL_WRADDRESS_REG_B ; CLOCK1 ; Untyped ; -; RDCONTROL_REG_B ; CLOCK1 ; Untyped ; -; ADDRESS_REG_B ; CLOCK0 ; Untyped ; -; OUTDATA_REG_B ; UNREGISTERED ; Untyped ; -; BYTEENA_REG_B ; CLOCK1 ; Untyped ; -; INDATA_ACLR_B ; NONE ; Untyped ; -; WRCONTROL_ACLR_B ; NONE ; Untyped ; -; ADDRESS_ACLR_B ; NONE ; Untyped ; -; OUTDATA_ACLR_B ; NONE ; Untyped ; -; RDCONTROL_ACLR_B ; NONE ; Untyped ; -; BYTEENA_ACLR_B ; NONE ; Untyped ; -; WIDTH_BYTEENA_A ; 1 ; Untyped ; -; WIDTH_BYTEENA_B ; 1 ; Untyped ; -; RAM_BLOCK_TYPE ; AUTO ; Untyped ; -; BYTE_SIZE ; 8 ; Untyped ; -; READ_DURING_WRITE_MODE_MIXED_PORTS ; OLD_DATA ; Untyped ; -; READ_DURING_WRITE_MODE_PORT_A ; NEW_DATA_NO_NBE_READ ; Untyped ; -; READ_DURING_WRITE_MODE_PORT_B ; NEW_DATA_NO_NBE_READ ; Untyped ; -; INIT_FILE ; UNUSED ; Untyped ; -; INIT_FILE_LAYOUT ; PORT_A ; Untyped ; -; MAXIMUM_DEPTH ; 0 ; Untyped ; -; CLOCK_ENABLE_INPUT_A ; NORMAL ; Untyped ; -; CLOCK_ENABLE_INPUT_B ; NORMAL ; Untyped ; -; CLOCK_ENABLE_OUTPUT_A ; NORMAL ; Untyped ; -; CLOCK_ENABLE_OUTPUT_B ; NORMAL ; Untyped ; -; CLOCK_ENABLE_CORE_A ; USE_INPUT_CLKEN ; Untyped ; -; CLOCK_ENABLE_CORE_B ; USE_INPUT_CLKEN ; Untyped ; -; ENABLE_ECC ; FALSE ; Untyped ; -; ECC_PIPELINE_STAGE_ENABLED ; FALSE ; Untyped ; -; WIDTH_ECCSTATUS ; 3 ; Untyped ; -; DEVICE_FAMILY ; Cyclone III ; Untyped ; -; CBXI_PARAMETER ; altsyncram_8bi1 ; Untyped ; -+------------------------------------+----------------------+------------------------+ -Note: In order to hide this table in the UI and the text report file, please set the "Show Parameter Settings in Synthesis Report" option in "Analysis and Synthesis Settings -> More Settings" to "Off". - - +------------------------------------------------------------------------+ ; altpll Parameter Settings by Entity Instance ; +-------------------------------+----------------------------------------+ @@ -953,43 +809,12 @@ Note: In order to hide this table in the UI and the text report file, please set +-------------------------------+----------------------------------------+ -+-------------------------------------------------------------------------------+ -; altsyncram Parameter Settings by Entity Instance ; -+-------------------------------------------+-----------------------------------+ -; Name ; Value ; -+-------------------------------------------+-----------------------------------+ -; Number of entity instances ; 2 ; -; Entity Instance ; RAM:inst3|altsyncram:memory_rtl_1 ; -; -- OPERATION_MODE ; DUAL_PORT ; -; -- WIDTH_A ; 8 ; -; -- NUMWORDS_A ; 256 ; -; -- OUTDATA_REG_A ; UNREGISTERED ; -; -- WIDTH_B ; 8 ; -; -- NUMWORDS_B ; 256 ; -; -- ADDRESS_REG_B ; CLOCK1 ; -; -- OUTDATA_REG_B ; UNREGISTERED ; -; -- RAM_BLOCK_TYPE ; AUTO ; -; -- READ_DURING_WRITE_MODE_MIXED_PORTS ; DONT_CARE ; -; Entity Instance ; RAM:inst3|altsyncram:memory_rtl_0 ; -; -- OPERATION_MODE ; DUAL_PORT ; -; -- WIDTH_A ; 8 ; -; -- NUMWORDS_A ; 256 ; -; -- OUTDATA_REG_A ; UNREGISTERED ; -; -- WIDTH_B ; 8 ; -; -- NUMWORDS_B ; 256 ; -; -- ADDRESS_REG_B ; CLOCK0 ; -; -- OUTDATA_REG_B ; UNREGISTERED ; -; -- RAM_BLOCK_TYPE ; AUTO ; -; -- READ_DURING_WRITE_MODE_MIXED_PORTS ; OLD_DATA ; -+-------------------------------------------+-----------------------------------+ - - +-------------------------------+ ; Elapsed Time Per Partition ; +----------------+--------------+ ; Partition Name ; Elapsed Time ; +----------------+--------------+ -; Top ; 00:00:00 ; +; Top ; 00:00:18 ; +----------------+--------------+ @@ -999,7 +824,7 @@ Note: In order to hide this table in the UI and the text report file, please set Info: ******************************************************************* Info: Running Quartus II 64-Bit Analysis & Synthesis Info: Version 13.1.0 Build 162 10/23/2013 SJ Full Version - Info: Processing started: Tue Mar 12 17:46:42 2024 + Info: Processing started: Thu Mar 14 11:14:31 2024 Info: Command: quartus_map --read_settings_files=on --write_settings_files=off MainController -c MainController Info (11104): Parallel Compilation has detected 8 hyper-threaded processors. However, the extra hyper-threaded processors will not be used by default. Parallel Compilation will use 4 of the 4 physical processors detected instead. Info (12021): Found 1 design units, including 1 entities, in source file maincontroller.bdf @@ -1081,103 +906,21 @@ Info (12133): Instantiated megafunction "AlteraPLL:inst|altpll:altpll_component" Info (12021): Found 1 design units, including 1 entities, in source file db/alterapll_altpll.v Info (12023): Found entity 1: AlteraPLL_altpll Info (12128): Elaborating entity "AlteraPLL_altpll" for hierarchy "AlteraPLL:inst|altpll:altpll_component|AlteraPLL_altpll:auto_generated" -Info (12128): Elaborating entity "RAM" for hierarchy "RAM:inst3" -Warning (276020): Inferred RAM node "RAM:inst3|memory_rtl_0" from synchronous design logic. Pass-through logic has been added to match the read-during-write behavior of the original design. -Warning (276027): Inferred dual-clock RAM node "RAM:inst3|memory_rtl_1" from synchronous design logic. The read-during-write behavior of a dual-clock RAM is undefined and may not match the behavior of the original design. -Info (19000): Inferred 2 megafunctions from design logic - Info (276029): Inferred altsyncram megafunction from the following design logic: "RAM:inst3|memory_rtl_0" - Info (286033): Parameter OPERATION_MODE set to DUAL_PORT - Info (286033): Parameter WIDTH_A set to 8 - Info (286033): Parameter WIDTHAD_A set to 8 - Info (286033): Parameter NUMWORDS_A set to 256 - Info (286033): Parameter WIDTH_B set to 8 - Info (286033): Parameter WIDTHAD_B set to 8 - Info (286033): Parameter NUMWORDS_B set to 256 - Info (286033): Parameter ADDRESS_ACLR_A set to NONE - Info (286033): Parameter OUTDATA_REG_B set to UNREGISTERED - Info (286033): Parameter ADDRESS_ACLR_B set to NONE - Info (286033): Parameter OUTDATA_ACLR_B set to NONE - Info (286033): Parameter ADDRESS_REG_B set to CLOCK0 - Info (286033): Parameter INDATA_ACLR_A set to NONE - Info (286033): Parameter WRCONTROL_ACLR_A set to NONE - Info (286033): Parameter READ_DURING_WRITE_MODE_MIXED_PORTS set to OLD_DATA - Info (276029): Inferred altsyncram megafunction from the following design logic: "RAM:inst3|memory_rtl_1" - Info (286033): Parameter OPERATION_MODE set to DUAL_PORT - Info (286033): Parameter WIDTH_A set to 8 - Info (286033): Parameter WIDTHAD_A set to 8 - Info (286033): Parameter NUMWORDS_A set to 256 - Info (286033): Parameter WIDTH_B set to 8 - Info (286033): Parameter WIDTHAD_B set to 8 - Info (286033): Parameter NUMWORDS_B set to 256 - Info (286033): Parameter ADDRESS_ACLR_A set to NONE - Info (286033): Parameter OUTDATA_REG_B set to UNREGISTERED - Info (286033): Parameter ADDRESS_ACLR_B set to NONE - Info (286033): Parameter OUTDATA_ACLR_B set to NONE - Info (286033): Parameter ADDRESS_REG_B set to CLOCK1 - Info (286033): Parameter INDATA_ACLR_A set to NONE - Info (286033): Parameter WRCONTROL_ACLR_A set to NONE -Info (12130): Elaborated megafunction instantiation "RAM:inst3|altsyncram:memory_rtl_1" -Info (12133): Instantiated megafunction "RAM:inst3|altsyncram:memory_rtl_1" with the following parameter: - Info (12134): Parameter "OPERATION_MODE" = "DUAL_PORT" - Info (12134): Parameter "WIDTH_A" = "8" - Info (12134): Parameter "WIDTHAD_A" = "8" - Info (12134): Parameter "NUMWORDS_A" = "256" - Info (12134): Parameter "WIDTH_B" = "8" - Info (12134): Parameter "WIDTHAD_B" = "8" - Info (12134): Parameter "NUMWORDS_B" = "256" - Info (12134): Parameter "ADDRESS_ACLR_A" = "NONE" - Info (12134): Parameter "OUTDATA_REG_B" = "UNREGISTERED" - Info (12134): Parameter "ADDRESS_ACLR_B" = "NONE" - Info (12134): Parameter "OUTDATA_ACLR_B" = "NONE" - Info (12134): Parameter "ADDRESS_REG_B" = "CLOCK1" - Info (12134): Parameter "INDATA_ACLR_A" = "NONE" - Info (12134): Parameter "WRCONTROL_ACLR_A" = "NONE" -Info (12021): Found 1 design units, including 1 entities, in source file db/altsyncram_lkc1.tdf - Info (12023): Found entity 1: altsyncram_lkc1 -Info (12130): Elaborated megafunction instantiation "RAM:inst3|altsyncram:memory_rtl_0" -Info (12133): Instantiated megafunction "RAM:inst3|altsyncram:memory_rtl_0" with the following parameter: - Info (12134): Parameter "OPERATION_MODE" = "DUAL_PORT" - Info (12134): Parameter "WIDTH_A" = "8" - Info (12134): Parameter "WIDTHAD_A" = "8" - Info (12134): Parameter "NUMWORDS_A" = "256" - Info (12134): Parameter "WIDTH_B" = "8" - Info (12134): Parameter "WIDTHAD_B" = "8" - Info (12134): Parameter "NUMWORDS_B" = "256" - Info (12134): Parameter "ADDRESS_ACLR_A" = "NONE" - Info (12134): Parameter "OUTDATA_REG_B" = "UNREGISTERED" - Info (12134): Parameter "ADDRESS_ACLR_B" = "NONE" - Info (12134): Parameter "OUTDATA_ACLR_B" = "NONE" - Info (12134): Parameter "ADDRESS_REG_B" = "CLOCK0" - Info (12134): Parameter "INDATA_ACLR_A" = "NONE" - Info (12134): Parameter "WRCONTROL_ACLR_A" = "NONE" - Info (12134): Parameter "READ_DURING_WRITE_MODE_MIXED_PORTS" = "OLD_DATA" -Info (12021): Found 1 design units, including 1 entities, in source file db/altsyncram_8bi1.tdf - Info (12023): Found entity 1: altsyncram_8bi1 -Warning (14284): Synthesized away the following node(s): - Warning (14285): Synthesized away the following RAM node(s): - Warning (14320): Synthesized away node "RAM:inst3|altsyncram:memory_rtl_1|altsyncram_lkc1:auto_generated|ram_block1a0" - Warning (14320): Synthesized away node "RAM:inst3|altsyncram:memory_rtl_1|altsyncram_lkc1:auto_generated|ram_block1a1" - Warning (14320): Synthesized away node "RAM:inst3|altsyncram:memory_rtl_1|altsyncram_lkc1:auto_generated|ram_block1a2" - Warning (14320): Synthesized away node "RAM:inst3|altsyncram:memory_rtl_1|altsyncram_lkc1:auto_generated|ram_block1a3" - Warning (14320): Synthesized away node "RAM:inst3|altsyncram:memory_rtl_1|altsyncram_lkc1:auto_generated|ram_block1a4" - Warning (14320): Synthesized away node "RAM:inst3|altsyncram:memory_rtl_1|altsyncram_lkc1:auto_generated|ram_block1a5" - Warning (14320): Synthesized away node "RAM:inst3|altsyncram:memory_rtl_1|altsyncram_lkc1:auto_generated|ram_block1a6" - Warning (14320): Synthesized away node "RAM:inst3|altsyncram:memory_rtl_1|altsyncram_lkc1:auto_generated|ram_block1a7" +Info (12128): Elaborating entity "RAM" for hierarchy "RAM:inst1" Info (286030): Timing-Driven Synthesis is running -Info (17049): 11 registers lost all their fanouts during netlist optimizations. +Info (17049): 76 registers lost all their fanouts during netlist optimizations. Info (16010): Generating hard_block partition "hard_block:auto_generated_inst" Info (16011): Adding 1 node(s), including 0 DDIO, 1 PLL, 0 transceiver and 0 LCELL -Info (21057): Implemented 143 device resources after synthesis - the final resource count might be different - Info (21058): Implemented 12 input pins +Info (21057): Implemented 14842 device resources after synthesis - the final resource count might be different + Info (21058): Implemented 14 input pins Info (21059): Implemented 3 output pins Info (21060): Implemented 8 bidirectional pins - Info (21061): Implemented 111 logic cells - Info (21064): Implemented 8 RAM segments + Info (21061): Implemented 14816 logic cells Info (21065): Implemented 1 PLLs -Info: Quartus II 64-Bit Analysis & Synthesis was successful. 0 errors, 12 warnings - Info: Peak virtual memory: 4712 megabytes - Info: Processing ended: Tue Mar 12 17:46:44 2024 - Info: Elapsed time: 00:00:02 - Info: Total CPU time (on all processors): 00:00:02 +Info: Quartus II 64-Bit Analysis & Synthesis was successful. 0 errors, 0 warnings + Info: Peak virtual memory: 4793 megabytes + Info: Processing ended: Thu Mar 14 11:14:54 2024 + Info: Elapsed time: 00:00:23 + Info: Total CPU time (on all processors): 00:00:23 diff --git a/MainController/output_files/MainController.map.summary b/MainController/output_files/MainController.map.summary index f913228..7759b97 100644 --- a/MainController/output_files/MainController.map.summary +++ b/MainController/output_files/MainController.map.summary @@ -1,14 +1,14 @@ -Analysis & Synthesis Status : Successful - Tue Mar 12 17:46:44 2024 +Analysis & Synthesis Status : Successful - Thu Mar 14 11:14:54 2024 Quartus II 64-Bit Version : 13.1.0 Build 162 10/23/2013 SJ Full Version Revision Name : MainController Top-level Entity Name : MainController Family : Cyclone III -Total logic elements : 102 - Total combinational functions : 67 - Dedicated logic registers : 80 -Total registers : 80 -Total pins : 23 +Total logic elements : 14,815 + Total combinational functions : 6,603 + Dedicated logic registers : 8,249 +Total registers : 8249 +Total pins : 25 Total virtual pins : 0 -Total memory bits : 2,048 +Total memory bits : 0 Embedded Multiplier 9-bit elements : 0 Total PLLs : 1 diff --git a/MainController/output_files/MainController.pin b/MainController/output_files/MainController.pin index 549661e..2aae2ce 100644 --- a/MainController/output_files/MainController.pin +++ b/MainController/output_files/MainController.pin @@ -264,10 +264,10 @@ GND : 193 : gnd : : Data[0] : 194 : bidir : 3.3-V LVTTL : : 7 : Y Address[7] : 195 : input : 3.3-V LVTTL : : 7 : Y Address[0] : 196 : input : 3.3-V LVTTL : : 7 : Y -RESERVED_INPUT_WITH_WEAK_PULLUP : 197 : : : : 7 : +nBL[1] : 197 : input : 2.5 V : : 7 : Y VCCINT : 198 : power : : 1.2V : : GND : 199 : gnd : : : : -RESERVED_INPUT_WITH_WEAK_PULLUP : 200 : : : : 7 : +nBL[0] : 200 : input : 2.5 V : : 7 : Y RESERVED_INPUT_WITH_WEAK_PULLUP : 201 : : : : 7 : RESERVED_INPUT_WITH_WEAK_PULLUP : 202 : : : : 7 : RESERVED_INPUT_WITH_WEAK_PULLUP : 203 : : : : 7 : diff --git a/MainController/output_files/MainController.sof b/MainController/output_files/MainController.sof index d5e97c0f7988c00f251ece64fb20cceba864fdb8..c088306be63e833b2eaef5ced3a2531b21f1202f 100644 GIT binary patch literal 703969 zcmeFadypkpc_(->E35i;cXcbf)oKbMq*JAmC<%eCguogQ(y3A-N+5;{7II@ST!|KL zVF3*YOz?`Ys!O6QuqkZJC>*<AZ*x`>}tysJSJ6lVvV`Xe9 z+Fm?pDN_)%u z?z-cyJ8wT^_L@0R{PV6Sw>|mK3jdFf7;by=wJ0-2o@7YO*(PQZVDU$#AN%yOnKd^C z#1VWs!$Y@!=#F1~-#ZWA`k})wz3qGa)Idq4)=0kTLdgqZ3zW+V9zw54d z-toS7zyI1@JFLAX#b^duinH%M^zwHey5m=G|Il6Uyt;YYt7qQ*ieLDJEC1D@mtB4P zyWaH+SG}V7vfJN%#mwct{^dmm*HC(wIrtG_58eKeJKlBst7nRioUuo4z3cF+XL>aK z(EHy#V?X?%+dufO_a8!y=0|TxA(vMaan0oejM`&<^Xog*EyhF-z6DMuw9uH2?%gMv z&w_w1+>6|rVkGQnJZD^}iFrhgy~`2Wd53X93ytQ;ttK=e)|d{&nsG=+XBl^1A=$nb zwU@Rx!E&G1tPV@J78|e}2Q7J-Mf83cy9k9kCfY7(hbZVbj)FNOc6!l7DgkA54>fZ{ zgu;;B*h36Dc~Yze6Lqw&5m*yD z)5tX&#V0GvO~`q9i=kJJ0WGo_6vRS0fF@`}hXA}od>Qjz#hk52F|?lcQc3$^>LXPt zE%$YEB`;NjSyl(w1*w};6@{9@?0hvZ8G&h4uM_bH|`^Hssi8%)tLZBgo zym2FQ%p-LiWezc3Gw-#$(sPM_nI0HR%})Mhn*Xe@x;Uw&3cF{x;!lHqQ#jj7cNWYX zWV6IGFotde+7^lyPJB;~+O4NY>_DkJ&G&)pZ|Odo(?#I49oo}na)#><>p8>lnRPv3xjoFYsTd$6nzzZQxOk+2gXlr^)za`cj??*lhFz^(i_8Q-l zpJrIqYpTjmQ~YUFckEfcmr19^aYSXYdXYVX;+a+CygH3^vA{|7%)p$%qUt%Q^nk0R zcm^!#hUloevzaw9glH4d&3<0CAN5SboQdUT$>y0-Zcye-&6a~?55w&#G#b};XBS9b|OvSEcVrL!ejGe1u z7eS(8Z)02u1rubdWJ1QBEQCRUbdcQmaoZseV2Qik<%F)4~l}HPj)e zyS}M432ac=y5vKRs>`5AmrZ)WsZT7phd3+{f5kTVdI-sCoG{Z1C`avCm5~lkK#`-WMtAq`Tt>jl+Q5j3} zOs`2cvSJW#K&*gV8-Ve1uz4O}8&rd1Di9LX7hA<{6t8^204734HN{%e z7H8@WtTD2xC12)>I2PBPv1GW|$(t7>=f#uRJ~FO?;VY`HXTW2)*pg8tZdIb6wsLu* z*pN?~8qWg3k!iG^1!`_gMY}Qb+SD8gKR3W>(Zj}ebF*4~aW=yV=M{$mXi4JVlj|SYn@#^@Mo8FccH=mLLpYb7 zhhrUw*@ok4961Tn>p02<{TPyrgm!`FAhAK8*pck;K+z}@a-+Z`H)W969&2-w3gKH? z%=X?)u$#$jQ+RE*g~OEk_OOD}!|P0S8Z0d9at!tk;y_rEj(0zIARprpM)^#hVo2&R zrPcuq7Z8$X+$Yw5fg}~{=k`jL+*U4_;9p!z^(w4nK?SiTQZ7erW>ju%4~fsR<}(Tw zjXhI+x4zw+-k*bKuLtCx#^o8Qeed+dS!nox#pKd6*au+9pbCbIJ>Ck!pfKxHaq{Jk zQMLvWW^zsQi4@4;VlC^G#Ae)BK(Gu3Qgm37%OeQ@VjE&GccUP*DaHU(AZ4ZsEC~u? z^9=@*$i-3iBz8;^T3QVSUOg^ztenm?mg(W7C2Mo9vd*bNNm7{wi|T?5A$;t7Z67V= z7O@>d_Z-@o>z{>A=v3>x>AU*%Mq9OF8AUTo=&;K(KNpF3wq(X;yRO7sGn}8EgLJoLFTIVHEb%nzI$6kHlVL!#PK`fz<=k(5ev zTT9qyUnbddmF;OtNN4lYVvEeNIj}2@xX! zsyhJkm`09K$`OGBFUxZB zxIb!tJYK;;VQo+LfCDbU&N z4cZiDKi^E`=i9uESm!E;8+9LC%lM>YE6e^%iaBBAuxaBu=lJYNlpHZg?MsSCTg(hh zS5pkE_AEe-^b}7`8w9&S`cov&&xZMVnv8~?F#8JnNoy%GRBUWnzjP|E!_bjvunE~h zh7?1^`V($RY{rfC2$sP>3SmjnGkjbk7n>C{`!K9l36>P~#8&cBf?i(RGrbbWa+(dW z40=iXjVhC{&eH^Zlx;JCkHtNsz@^%-J1MYnP6cuXr&4)99XkN`w4ySF(w^+Q@zbi& zvqO09FRIHa40A#3aEYqdaLkW{Jt$o>61334#Nrl5oc2&%cQ%+I$@EAjg~D}A*PNP+ zHB%|4ZmA9VWuj*ijNt){xN)G4N@|r6f6l-odiuzQ&ZPV?GhsW#rWrKr@FI;xOW`1R z);^tuHcM=MT?zqRCh2Hdda5Kr>iN7#=_%W6pE@~XG z&2gU1P0)E^<3P}6*Qx-ES8>cwy^7X-RY>j_5EcXXt%frveeQI^jjS;s2llZ6F>tKY z^+}Fd9pAOEa@k`mD69e7KY?erJ4a14=EO{$7+-q`yYtCSe3Eaf2o)m zCUVRKT5|SeP~*jPh-;#z1iMTFKM*N*x)m#zCTkNWj*vLg+5?iZwm=U6s(#^) zMx$gxzOC0zE(G^;j0F{sn$~t5!a5rS>Yu|;B~RuFX(VH2CC?5^q~EqOCqB8uvE_oh zF3`qHw8CQ_Yx`er`Np<@gWM{1R4|7^cL9HsZXi5*el*qj_=+1?cqWj-CM*DKj$6iR z%NEdslh$DHhGtAX^g1|eFWiUiPKz(-iDHejKyhxnyMQ7|s zR&vQcvBoIqK_ZK8w>SXZPYB11V``6YHO}tYVaAr|l?QMH7;}~^EHl_wNeR4uHBMEaha+f+m`;PfH5ZyW zr~V~V=jTi{yYqsFvW+E^nFOsK`l-ndDfoI3z7_IcdCfmT6!za4Z=Kl)~SSZvXgfb8rla z7aTK@1X1LoYXj5#$wiF_JcMEw*I8Zxg7O8@z=ZhhCs7sY?ya?hk9Z6za#Lzpn~|^{ z!7@nAHjbH$LYT=sqa?^@zO?&)ZI9ON?onYy#f4HrZ7SjTUkA%(Y&X`ON8ntL?|@5a zW}L8YCid9)u0W_=704f7z7VP({{2p&3AtWL`^GU7&?qpqo1 zu92KOzmw?2bxxWq$I-7Z#a)=rWGelu=et^9p2WaYg8Z|H5 zSYqQ`c%=Zaj^nKeHtxj~OUr3c!hilFS!f4`^ z)_nbed7F!EgH`6Tkz5bY#R!d_ELRgr7agpGC5|Zpim$23wms zL@Oe&Ot3%X{>UhVB_$@v)0Z6_X7XxdEfcwSzxW?!bnA)8N@#+@UbM?}F8ky@(Z$FRE?rtzJ;Y2+3)2to&7j7C zB8$Wt+sDME6k8rSN4KQi9nvV62H|dJ+_)c!+JIeQe|Cd|_hJ`!QQr;XW;#4|5QVit z_RvZ6imJnO263#tG|MvH29Hqmr_STcVF&Z_GDt zv80oU9|U1M%MBSb&j6^``?y~CVXw|r<{f3du)%>Z@fobwP8ZlS9GQ?6yS(5~pIat^ z?gs>!)q>+sZ8xiT<7BM8ii&BQEu9s)U5BGuD!J$1X3XLHaZB&@_{Fssm~d%*`HkkY z6W4{oD<}p|H*^1a*=t5b6@2?)Ob8Uc_sJd;Y59k`A(V013$nmI1j` zx{QQf?i0A{XMqq-;ex~Ly2{z(PcHQ~DU13bUQci%24e5QqW&?*HP4@1V5g2ej4pr` z)dZPX9@MNBfROiwBJ$FeW$uT>j78i5BP$F3ny6uIPEt$$ARU0i(^9yiN=Y4uQh+;n{kobSu;U=4H|B6SUfgnrs)>wH{v=pZ zEQn$=mC9v)C|m+UinW}pLp*APOyEc!Vq!Av{7nmX>UXccMMg2K=a}iU))LD~9)!^# zM-8Y7N=I_+B)aZ~Rv^>k2us#uKsWs989Yf5;jm253nfw&%aRfa5rpC2UOa+QVbkX%Nc-1PzUOq*3CB+KNn(-ix29Z)*Be93&9jt4~b?o zQ-l`LxfVxG>X<2zc!v;K3uF+LHQt&u1j#HgLEu^xUk%s};hkTnl(4DAmJsL+jPRU6 z^&O0?*GC0pTFZtGRSS@~aD(<1DsM(I>tbDA7D)%28P@Gy9 z1AXE;n$=u&a1&gsaB)7ceI6VgQsQ%GJao9J(hq@oU@V&J#}B}K<$54(!@<0Oy}|Nu z4=+f72R=K<82JH)_kmjXdqC|b_2~pG7{*w+;F!jGpsN~1pGF|88{x1_uvyq74u?Tw z==3DakObfuuwd_#PL`Q*42aR^S|524v(>PE+qm^>Lef&~B(81SKi(Fb*I%%#ViWgo z8sHIDGoKoGBMiPoP?wV8ktTKBbc~s zxMkem^VM5?-LAa#G1J(2t|QYbu-jjMK^$_#CGLABgfH}I#ihUeoQbDyHsdXnU?;^y z>7cCQ=WnMA$-v86CQ?nCX7^QL;|gK`;F(Q{QrBg^X5G;B5gvI-I-#IMSlW#PXBKnocCdSR8_*9XL zwmD&%0i5f!16Xy84IU&m1?#fZ=vbUh30#@*Xevd@=rfj^g(P~a3)UlswrrP@Dbx)S zIfsCEe$z*ekn;taFmAg}qCPV+1iw}4bKJ=4VN&{YAjc!PhtSFq3uyZ2jD;4G%AVrp zhCs2}fQjk>M~}l4+;%`fci~3gip$KzX{^!e6$7F^aJW>6`mlSeEp<%#nnU73hP|teazQ=)Ha5q6yY&?HXXWF#7LL!xGY)6Lu#bAfOzT^?%lH-V8_P;#ap7f@ zel7zr!LMlhbxQ*Ch-l~PqRv=~-PhqbhFK;D7vfH8-9@$55)2k+0_on`!^&qGM#X-P z0+Cn*6juor6xkyrEA$l)hl6n08b+YAnm(g}^U_=z7i8nwABGnYBa*GfIg~E$!A-3t z)Z)o6n#S;fiMelR9rskK0fZUE!7P$CJue77F^3 z0i1$irZ0Q*B2RlnDs4ql39L0xgFbd^xj#c=3$;Pmliif9g+BQs5aU?GEwDU4*KsVC zbsSNhMXL8ud@<_0UOMijmNT;AdIVxx&mwd;|3I9L=#*T!wea`&Z9UjlH*sHUsayRe;e z#4NFtNSb1q7;0^qgro#D60tBdl8Ge+&g1mu?0f$HY-~$tn{|S0XGK1{h)O zqvx0g9)vatiSR_S!zeLp*rU3y@d*>p6ASL{;ISHAp#$Qn$9AX*cJk8nMrrB?r)P_o zlOdqKwOxn!ni~o!8-PU)z`mMcaiZb?NJ)Irh;Xj+w|B3h+}?`drm;3cfXGkWRCLm3 ztVTcFS;JLuY4Ei%Z8u|nz2}^A75KE3*OmshmwT!X&`C%uO|y$Z4xVDatOE-mvNl~? z@^+bXS}pW*y=P%@W4R{8xgvQ&YbNOOz~t8%HQ8;LtSr@cUow%rAWuLWWnbM1;7p8Q ztJL&ugnM#YD==8-1AGw^;J#E`;LEPvDk))rw7nLVm) z5j!N^#+P1Df3fZG;&BKwX=li?LJ%js#EKjfLXd?S%Z(*sNJ!a=18) zjeGq1H;2h*&@(bPa2apvR{&P#=E&s_u~uvz)lcRbN$WUce&t0jSpU?4dixS-wE>Y3 zM;Vq0D#d1X2BO$hY-+)gDestO!NLD3z5o~rh`|-|ADlp33zFsCvAN|{*gEscBmz%x6C9O;}_GdNpMFC9%2eFtc4#EOP~G zy-_7=a}tsg`ut&u9mu3u(7qpcZdo@cJ@oh6LUTQyo~{Ld_}_CC6h;p%d`}ml?)dtW zxrkyv`;ooIkq5X7*%4U@Z+?Q0ouCG2#`4x*kP6Ut>q|IGsZ2tz2weho+0U04@Plyk9$W2|XmE{7@xa@)P0#y<>_;o~%|N zGd70LDQZ;ULYpMhzM5p)u*T7%4Cq3D!^SISOeRwdzC>9M zL_jyk9fZ^tU2#SU5CV+Fe}B(FVI?@FID-yKHA36qJBel@IglbWYBiDoV`dDTr%r5-B-rMkdk2mM4r`vc`3LFq7Hv)^A*x}I z$}Xe04mcsz1om6#E;)K>;u^mi1Z`w=wmSH;XjYI}Ek0@v5pu!cjnlNcgs&Ve}r}=D)poB@h94>9G5|0u2EJZX;tS*sr?ay2-6bQALPwCR4=bQq)J<=qtoI z2Dot3mSws&Oxx~C(Q$Js^*(qBnd~!`Q9YPLld4kC$XeFPG_P0xw5aq(f6K%j%Csq~ z*w}d_amj`b2doGSVB<_WMwzV%SK_Vfhj?T2KI}bnpTz~p$PUw3CWpv4y)a?A8t@Ev z!0JU#`g$5=9Vf)3 zj`1B}e+fP@6ANEPf){FC6OmpdVkC$!ZMETJBb1|j!Uc9YqoH#?*~9qdF}Turh2 z5JW=+Yv@Gr3ap%WKw4mU$Yg-94k#VI$|<3-q7`73rDYwOX%&?&Co;#TxXNhkJC!7x zPB4a7$-WvH^OcLrtdrMc-cc+S~8z>giQZ35_b*5a0Bc3=9@1Fe31P}lAM8vN! zN?O2g*ORszS!eOh7CNE)pXwN`7p$ywZvq1=rUe&sR1rS_!#u{z!OfU z&q(_Y5sFD{+t+UzEaXlVVtlxrK0Z^x4?NlA)#i$&d(V#8k9c^94}|G167nC_t2arD zHW05ya;!7cd2x8|*k5XOH`97mEJ$|vS(Dl}-)*i@;)RK0FRb@XNR?JMphD^c z%LLnznmHVH%-HP*k45n#*c>5AS|DZ&v)ErfwW`J#w|>12zpJsLx>ZoG76_<%in)4K+c(HE1%UKp{99 zN{U2Y;F#eM;@l-T$_^odFqFbg9=6MeNbyVO^L=d(saR=0^l5#R#BT?v6W8HEawAC< z2nqk}DQ6y*39nfD;jtZKV>`^6?st9d#r%w#32L9jvM1A{d#-h+`P6(P_e0lgh#YEd z)(-}dRM~?094o46kXiW=1$2SA=84^w{z-@y3?hW+dK-iILgOC0cDuUG+(%>AQa`uO zGZ!Ok$*;wrE;#o{5Sbac|BS-bs~z-4^pU;NBUga1x+lUOfS)X5~hb5GJ6Edr;m;**G(+oxH!5wPgrt+|cVI89oI*$>)IL3OL@lOH{YGofJD z43~d_wVdBQ*ep>acBF~Gtf47n;Pvhgr73rkP@ZHiQ^csirG@j1bw*&Kv}`6~s{lK{ z0ZuC^dqE7%Lw)fdnZWxn_aurV9F;&KOB=FfqQwfgd-8$j7IGe~JT*E2J-uILG~E`I z$_paX`X>`CKXA0nedc^@B)$Xf{lqk!1}j|xBi-$TU@_T`z*xTNXQBg zoHMEhH37WhPh(e*Kk(*QC&XoBZWQuDBuLwq35Zo|9Wx;9cIuKtSV|a9anmP*gg6o!pGu#OoDb;IMp@FGw#4j6j65-bR@|K~p*r>ntRUw5Hdx_fuSaz(X% zJoKvvZtK+neU|}yRL*dP&}5a&5A=+&!~lj{OSRoV5+X-~tm4O+l;j%qc9GiJ;`(V3 zMR=%Zn|Uh|RIENwLG3p2v6q?HeJkC2!CgNO>w&qsCO2oWM@4=@f1F8(48N6&hngnL z4k-v$MQmzd7r)Q9SQk+vWmgXrsCcermms*z<26U0uLDQHRbgP9BjQYfgCJ}?nE(kv zx(h+tY-~Vu?0iqaU)*f$yu2^Fr3qFuIDD6c#Xiy3AQU+aScRUQ&*}~%JViY1b*vlW zpdi7a8apPq<-!@*Atq3Zgb#L1z)2wIXSakC0Y451w~?j_-mHY;9ex1R)}eZU#9_#B zlp;#jI+AjB^PhEe%w!}? z1BN@Q!`0z9RG0&qBP{?Lm<|);V5A#W7$KD<8mq%5z3HoB!38{!q2HGX^1f5$Q9Meg}06=`S{;6&_A5Pl_+ywdMD zQZH~%zZ;p0HTq^wUa(I7-h@FUEPc9w?Y)^`H8&6$TN9yR$3Wj zqdp(lerP+?DUIO`F|{ZQiDUtEc?q#*agAa)$x{ALn9#)Z2~iA^G}fFJfi z@5GmH@w{)4&{MY>VZo}ouj@8291a)|mYxZ*6V=3@Y!~cLVD`199SYT%vr`#K_4C$H z(VGzSgE)bVW5&~&oO+3Gi_~=x>rWm9YYTH3+XW;&4k2O`v>;`f0(u`9fsjtf0)L`_ zi3Hvnahj?TD4~E5dlM;O@|K2qoz6=Pvzm$uA5igqWPULbYno*ro2~H?>6SqY)fMOBF`)MsNDd^_iV$H91pfo zWq=~G0Y(E!*{Y5uAr5LSI8@SA7y_6-8vIpJ^BQ6SRN?qjOCbu(+-09KiP;aj8DKjf z_*~o|x4h#cJq(1G!bez&+dwMzJCB~#*f;U#jlg26&=`R1Jzr~M(4MH+0~YxX#nP_}INo#1I~w+{{=sQWCHR<-*KBNe;erQbLjk;EK8u5A zna%wFaGkopEhKkj6*86bq}bsuv!pAL5HSoa3)*s4NITjQzD`<%J)Ku$MS1||JOX6m zYy&;r!`hC9nK~f1fC_e*HH*Z!%!G%-eHW%RKk8$idTV)}&-*B{yCa3q?Q?^`pdI@`GdikLRqycO|AEy-%;O&{THXe=Txr^NyVrM-;=pVB3 znR;Qu9^v(_S79@#v|$=^Ls;pogzwto`aPwX=E|lPI8wnJK6h(0gFM#^(KH<=IFwhp zYXCb6+J3fmbd}Ag%jT#mn^SfGHt52MD=G-jp~0(K+Mrf)(MTBPP%Z2Y91+@mxBvwR z9)++=@Dgs4d4pSu_FiMM8d@Cqb8z!v@W_2k&u=lfl)pYrO?erD_*ER1riNHWxYUo< z`;6rkAS_?S8<7W%x!7jSK9kkD8HrAoQHN%kVR7yc{OY9Pnbl^LwW?>trxuI(b8Q;7 zPMjIzS=qpu^#FHlL12$ufa@AIX8hs}3UZI*N1*2R>qdBFzOoYXwmbI)8k6fwS+DSy zA9XoPh+m<0pboi-u(^=;7WD{t3;DBm%%0rSJ(b@CgiQ;#XD8+Wu=IbI1jF z80JZ@NIcoZT!UgNQ3)0l!5Gd5lZX8&!Cm}nm;Wl*{u^Eu3Q5kP%Me#W1QT?T{CAx{ zG0`u+W2NgL$I7Wvmg#}k3QKbDmPlAK8rHAH4q_WLYx~h$U9kMHXz+LtHgv{cCtEaK z;QS-zUBZe~lh!Oh@jI%zldHFzv6NSI{a@}8)|R?AU1=k0SaSl`L>*jlA#o+9)_I=RYjfMZ@4ZdHs} z?mQnc4?^J}Wm>1=X3*%k)`bqZNy;(5cY=IuVNE_hEGaZ#q6Szj_wB6plztKFm=QQ> z7O}-q3+NY%!{OLN9}9yr6vsumQ5L%Y!s4(HB_;z7UTUq{dgXINH_tx3R#?Hhev`U$6bmLo1a~cx2`Wy70bX}V_opz z7;aIW>+_1#u@T&c9hqgmqX)45+QVly61qn&ERg>=45I_rL{?q3o;eKSvR+%D?N5?^ zDmiLe$=BkJ`N25PhMNr3!;vT3j(uNnSRME$1MC#wM0eRI`H+(_Z5%AP3IxZf3HlSh z(~L5U45+OxN01&34#gCE=gF}~Jmzddu`BnE2ho?VbyL&GU_}*hbw-ihO=K1f1gP#< z!1u)tJ|ZSrdTrrZ;mjyf4765_vMi=ieIzU(SOxn9-chIt>wv&4*q(7#R) zqve_)v?GbD3JX53BF)MjXLi&Ju>`q4Lo(K$lQOj30$?&51&Oc zj#X0bMha=-M}i|SY{-fQS>BcWx^HB~O)T`6^e*K{B{+*Js7=|dThmji75nf#Rq_yJ zgsZ?7HkT|sW?C4Bi!8%=b|ozx5!q5%JOh>&B^l0Ti7ku8*xP*D&K-LrR|F+!(p7ZK zWiZaq5|iuGQlc4}Nj+t*e`MN%OPXCBkD5kTXa1f6JJ_oGJ|C&705C}*Hm_}c04vX@ z4rG{3j7vS9whNg<`?&d!d(1MHNsaCQOE^Cdq{W(@W;HQvB*2oC8;l7$)RBvk;J(rd zF?B%i`n6B)jQO=4b$Rl=Z9xOP0&q9~E`9PVZXaJL&elU&&%KU{V~@)H-amBA+TLAp z_pNOj)k$j(wU);9EDzX1teb&lBEZqdYMpU$5SlMU2$o;Hnefvf{^{T&`5ftlA%p;%8kB4j@TI2?il z+4G~T`4k4hnQP)^6hE(FkG&A?YA=YQM+Me9TRqORwL;oQO*=^bBHFw1gK1(fHJAI+ zjfR2>G|u5LP)qx}FjE>7AX#+8f+#Jp`>4rzH(Q;{ToXKS4LIO~ty*h9Fp0&F1HPdv zD%=iRPG0Lj05prmN9Rw@GT~WwiAiK^xsLlI@^Ua?A;vjKkkKWrrAcqY_v;^)=P8@U zCombJbhNc)5-?^BV;RuwYL}tw7~bp^{(tvp(Yq`%>fO%LL}B}k*pUD}7XBEg*vtN# z3GYDMrpw6vK5UKco4m?}hait4J0u$kP+f@-bDnd$%!kWNf@9LHgZ0ke=SkdOeUo3w zTAaLSRDTCaeZ->EfL4jkrO^ghje|C!X9y2W_pUCu+yr-Aq1R+OmoP%!L}<^U$BsFmHC2HIgNs1 ziS4VnwFq?ID9lAbA4{Fky7fTd2}ja5GXOK@d>0}wW*r6}ko7@{sf7YZA2-<|K@4bd zO1v*JZqesAIh2f_$~F2*ZH6kwF3s?*_!0E z+d3eu>_92(p9BkyolhaeGA%%7Y9Sfd<*AiVFR-k>{fF6Zw`b-`)0W2#3)y#$rN4d& z%ho!`JNk{|&teN_7O{zsy%4{f^wWgt(I6-eAHQBg^P6SD4sKkMp_vMQ@ER?8>|>O1 zYQRQttcpg^{x8g=I-@C&$w_>HwXkl_*h_GW6bO>GUxAn_DttM|2$3U!o|?SN{EhL0fO?|?#I(>XYI2k6D(I}yn7p+Sl&jdX7U!P zZEfZd)}xh{F%`@Gkx>XsK+z>Zn(|h0WCgZKOd=CD!*uT%kZc%ORH9hn>-(+sF&n(? z-^RM{%}*+hv4y#&bvCh7q_f7(FL>#YGAB+S$Xl~3m!^HsdBfw0Wu zv?%T-e6iCPR)kRqLNL(j(t_BL8=nqw*vk%Z`Gq9|89RsA14W-fQ1dWs_AYVlU90`6 z9fy}?!UUER9^Xf9?8v+0910eVU}Ax7+898Lgb*woUKAv8OdqIXd6l$ROaWUiAO(bM zBDh{&sq94YD77@R=86iG@TsgKb{Kg6*&~?uRx)*Z$hdF|W(9{yMKL)H+*(%BN34!M z0PZd$+3eU>GL(W=ZBJgeQ&D|c!x+I1(#i_!I(CGNA)j75L0O&{fHA+$lrAy1_2<`AnHS}-Rc_XJefr6i*w%ZWQQe;Ll0nOmaIfRv6(aQF+O z@$Ze}(%IQ_jsM^^3r2##%{V;RETKvz`1%RjA;LW|H=Um^GLj9%` zpamd_9WwyB$Kp7S0?rDNVM`wGjE5w(iUFb$8lSMH{Wa{g{NV-u%Qz3A>3OHlUWtt+ z`x}!B_hSAK{{CwnIMGJu)cb=pVVPCH>wsmVdBU!p@CdUVVjYnqtu2#~ob;A4jMQ%9 zm?<)`nP-#)g_$qi)yx6%`pBe-d;SIDlx(XbTe#TcJg`A|;^|o}^w+k+OQNpFan`q3C`E7fgq5Mb{j1#~ zCq0bruxxPVz;a5T!UfOVjXBj8Cz{4~`&4#UWg{|0hq>0kgeU4Dy3OHT5g?lTV5YUR zWz&ZXz^t~$Qd=V7?J&AONc|%Qk~S5VQf@Beicy~f?SYvIRY)(UnBY86AFy1qN=+NU zNpAD(jCGt1F#r$mM+r;ka8!bT!lnoLWgclX5~6QNxg+TT0yYI~#znotOp!CCBJYUr z!p7FRMc124z@><=QWVz}QI*2l-;qXZ=c*Iq7^G0|bed70ejI+G&`gr?I|U2zG&#Yd z`}mo!^IwA4tk2VTjwQSe)n5mckwtdD$!10}+zc0BM3Sx@;cK zfRaJZ3snRB{;t`HG1o$dHyiVFEJ$m)jAXQOBxHTW^-}qQ!`Jl{^b!uq!xcGnweWs9 z%UG5L@A*Ha!$b{mq**c17=5IW3uxf$DlN|#1qmJxPboGK;ZkS=)cvHW?VPHHw?xpK z8-az4IBSb6&ln%T1M9f<7t+y{*X5Y`XTs|zDnZQlLB>kYI1r5sZ&?Mvu1u#n;OyE=pWKwIHbzKq^0E7W* zcku;Bm8z1Ey7+0(e?Sqk6vI!M#|)i@HC4*opjpn`r*@D?s zo>AaR+DI|Sv9~ecknh&&rc!JXQIGM!&SwjCrI0?QBpZZ!32XOES>nfgMnVd%HaV9G zcRKJMaio~o>D!VYjJ!W>qop0v4ZtNJ8qBW z#Zn2ZY4badQN(d;jK!gP=}Uie4SqNB+pr?S=*vIT*k`RNTPtM3xqXviZe#q=1DaWl zNuK2Z2?K$p`rwL9JINv}tOhimc0*h!6@Xqt<7rvKG+>91&RCd@j#-sh%a~r>)QlXN z4<4X}owl%9m=g_62usL(6p8Gmb%c zK_J+MC22i4K{ANNLn;uB-Gd4AhK57N@Z~Cq6-jWSre?JOOOL>kvS@;Y_(3k+o8Z?6 z0SN>0v`iF9Go!o-B@&Vn*lkjO3}DhC(~N}V8TaJhy?8oi0Wl4}5apOr3=GpRUT+Awd-Zq6iks$`fu8aIwuXleiwq}mJQ7_S&U{)aQR_ad$ zdxVT~YGwq7S#m!eJBRxSTRTxv)RuxH_a5i+7aGJkULUe#U`w%D5pa2I*^T-@uqS^R zur@8(@SUVSjzbW`)I^ibXj$Sb+L?@jV{6t1iOoKp4U08qal^f_1WaQMK`nlkbnuj& zD>BmbQSd==>`?508GJP)u|>B|C9?_h5_ok!f`dD7@~Ey)q?E zj*7wWp7Ns&W=x*9Qm5@Z=F#2z_F($X-B0E*IR@tM@s-Hc>o^2M`N6i0dY?QLgdvr& zOk_RYF_Q@sWn z+_JH6JLbOq5QXyV&9z|Sm8N;IIVU&4I#jdJr6JS1oSD4C9f0b`OFt&Gf*&Z(uyaGI zZ!Ow2+L-_<(!G+i8G1y_b2YoC0;)H*qcb}34&*P%3rl3~5+$e^%ogb)pK0Jj+<@2O z@R$^z3-@H39deS*0OoZ&2IbnUBu`|BL9r_!MboJX7;wV#y*G^KvSS6(QOTZFrShX< zSl9=ieY=>-NptII7t*n^Ix#+W0T^{ld!s?QAz1^y^c zYE6(fLcv0cilL0lKJ8$`cx)Ly_U)3{8{1TptJ_|Zspil>%VxqZ?&#eOigr3|GU5?2 zo>=UZiiHnX(Pb74!SCq-_bStYWKrpj_3ZT}L_C;Pe%n1P>MCBh^cH zukJGA`z~rs)O0<5JmbgPdwTYJt^>pMyRojldciA~jdGvcNGK#`b;|^mqVpXNL#b?Q z!J#DRzm91ZTzxVMzrj2)j_>m1q(F=Yh!a#wGO^1`_}$k#f95(4_4K`af@_^zw;8j(+w)O28l1!< z)<`UxOX)9T({rDeZGGS}5vo?x=lmV-jZK>tRZ}+zpYt`#1w&)OgTLnGMzqJHunL+u zEu>y8`S!cMVq1K?n>~4pKv{Rl92y{F6>*l?F;ByI8h5_TCy=fJ^d0)t;)r<*kuS)S z=|XB^AS}k=!h-LB8Uj}F4w1bNd_=R_+0%!M957LWz#`_wR~^_`vyb8kdjVOfZW~43 zUq&yq9sP?GTxJrbAxFy}4uRh+-)lr%34%{oCh)HH`lR$~EYSYL+m3wO(HD|LA#Z z^>uM&(j}Z0xa4=D%XAdVUiX0+5-mop3!G(YB!n2e(YUy!zwIHLN_L`0{&0N!4s$ua zpI|~+UFspJpB0k&9*+e0a)K}phhbB(tp$gYAaYEjpb?rVa>* z_EBsT$K4P+mu=p($DEB1K5pdOC<*`dC80%J3E_E{21n-JGOZ@4%N^@*rDw)>VUHN! zhK8=mqi12?3pk6T!rGjKqy(5<_J<{QkjsRmSwXTi=CrP2F1y);4y8LtQU`3hT6Oo4 znz}#u%T+!}iC%&e0z#4S>~p#;`o zj|zhLnu#&;x3&gDCEdILZ^~k(6amM@*c^;IwOKo*46@_E*{#8bJlLl{mx&m`c;&;MkY&e?!P#x5dEgkPK9N27#J~MBb?~l2LKnrKL7I zF9n!D)CMxmB(3naew|+6+2IZ%TMu3-f*@m|-x5!dNS|SFJmIt`RPav|58(*;L=R1^ z22dsFI{J_lhYXKw(D~Zw&d%PIYGz6iI`E9X-6vi`lQ^=^fMWWnvck=Y>5vTY#jV12 z9y=7)Gis~NNJhmH$TTZyS7ZX}r`BY>EVrg8b_CDarO>ps(RzsB6&=_`w8}0bHZyJW zCP#m2#L3&!6FAl>7G|~+eDn}MhS88pp^oG0$uWxsloG2e__c}Hd~`amjfpHeX6y_7 zgEcvNPy%-EYvCJ$b|!OofeQEZj~-wvq_dXG)@=ovT1g=lb+t^eSJER6hqgCG2#$_k zj{AZcoWS>9@uM(YMgfOid%i#BIf*p`TjemOrgcb>s4z{-J z5bvL|Kgtv(mo-l~mvNtZ>x#RT87Sf};cxn+GkE8fCDK^#S>0}4a^#JgrTo#*N{gchw{17;zo$7D_t(MiW16pB z5S8`lC*#8h*fmeL4*JW{C<3=-Fz25;%CegtTX5t-;EY1$a^tX~YT!){r@P@rt(1Id z5P&26>a8F%>>;E%UuPB(hSX37g#z>*jsa}z0jQi~g0K%cEYmzzF=ZhsYSSW%{UY1t zwi<3X_$SQZ8o~x zy(q0*UT6tC34vO%xtEsK0t{p;V2*gW&i zZQH}-EtxI{a4}_J;EBIL7pMj4?8_#%{9Yn~A%)UnRaEcyCn z(jVdqUJe#;wveu$6q0h*v`kP>%5^vl^u|157KNFK7w6-@HFmCvUiOFyv>?IHK%M)` z*Dd|VxyN8Ds^$)5wSfRB4`Wt(V4Ja)FH}RU%<|RuHpx++`^DfjF=MX!GtToB0F66v z`_v&Y3P=uwI98%AGLFsqul%==1%iV;Kd^}VtW^tzCE#W(6Gh=<<`qMtL{W5^nR-OH zd8AdR-s__EpWyV%x_Dd+3&&q~!EG!hrVfPMqx$79J1eB7*jKdhYs24rr+<^l0Wq`l zck$V?FOMB4Y76{ec-a&116ID@&P?EnN?ZNA@JxdZLfv>^xt=VMOGaAZGS7w;>cOwT zGsZY2{>E;>hY031t--{7K7A=7SI07pI-E4$KBnW0btU}f=kSTGubK{XxCf6-)Q{uh z=!7&1`47?boHUaEV z9x<;*anD>u@260g_E1~LOUjpoi`W7do-fG)YlkI7b~QklvT1}1U|fr*HB$cdvy))s zp=q#7jAZI5z8Wk?Sfr!G)0|#NmHA=@*57~zwm4ytHd83~gRPgbrv+?{Be$jO!;6N;_!h{Rf&&Q|czrQ!Pw1e3_p0W5GTpft* zha1+;uvIinUB+q>dSy zkaZ9x@%&g+31sB&s->i>2c76wK;Ba}xvtUht zUxPVgxu_nSXB{+UxW@J@0DoE-tm}Zq$r*iP@MXd*IJg2AoA#9gYEzT>u68T1dXllq z9=7sbPkv@a6>znLa$KZ~O1xBy%o=(oC#MfpxqHt=P-X@w z$EWHnffaMzErYXN`3!!~|pEarI2T;a4TqzMwj zm)2>i#Zd!(vb|+-+3kv?ULE(y81@FgX!DYF8suG><3=Xz$kM*T=2GSdehPWnBz~L; zS`IhW;*K$iy{fO)Fhsx=kcn6Ga%-GpMgt}QmWLpy26mVgoIcTpy)bER0?KNi>Mg66 zmkKhLQ-KVG2{wl{VzKxsY_shMEbrmfc-w_MGMG$jt!tU!?L8_W_)Zt|EN~XY3n)jy+1Q*0 zhDAFX*2IDuRq$r;Y&pZ{dik>|j$*OIMus;TEGG-JglU4AAYBP-AqfE-eyIui@fh;D z3{yRd_!UM#Y6?X_Ss;X1mRT>>lQa9ux(yFusK%O1aj;94U1v_Fw z#mteW67CGR>BZ~V*z8DBvD_Zs=Tu`aHYKU#h7<5ke@(mtEc#7ofrHRGfLcpaDy$`K zM#3~9p#%7=^AQ|^$uIj(;(!kpqHrCD!8rz>ICQ>l0ka5r4Dd*gQFQ^H^;LCoDj^ z7V1zeaYbi!L}_ag+h{=H0 zTNv+{CjsefN37oeMgeE3ow##y&{$@yZ4)zwx&0t&Cd5?$hKu9ITiDnSNlnxOOolgs zVLK3JDAtpBvBV7rEK$u8G;C$OLLjKA@L%>|i*OQu0LvgW__<=l&S!Gcg0&@wkgPTc zC^?H0Xa%I1Ls=umj!0lQQ@~}_&<2THMqt5=XFQ$7)8~zt1K?!HI~rW6*8v+Vn~cG|X)=AJmLyb5v!*kwVPA*2oh_ z;b}|8#@}jaxWJgl_YhG7K4k6LQnuV)#cl;m6!ruWY*Xs2Ev&Pb$$>-HUHW>lm(+;0p8}q zUvDQr;E_PxhQQUenEpLQ>r#*uET+uRRr)aR$0z*9Ar;0@}s z$N@3f+M+{9G#JRR1YTtF;r!RWW8AaFPbR1k;r4~hqk`3`{L=j-uLL2*aUA)4O|dRG zhY!1W^-t){JI&Sz7Tp)1N7AKqS+d0h-DPr--$Mt-!EBD`Fo zM659OF|G{)$6D>Jtb*Tu@?T@{^<5}PEY<8+p9k@jwvz^)g%44Jd-q*FwI_)plM(4Y zerUE7qHr3suM%L!vBc6Ut10Gcz!K^;V*U^({t2-agzYp(j0r7z3KMm}Zck}#iCP~< zna9kMZiUUF)cZ0j(QQ^nN{pJcPQ0YR%uG6zV(H7xEC0}XiF!cBVI9l6nGtn%B$xrm zwT7|imwx7D^am`-7II7v1zbaR?^u*v5Oai63>gyy$Mc!kI_RE+wLdL66_s^8Dzg&m zy_;$k1SB{d_HED=X@kYF(lF;~25Ig9&~Zt;3VJX1GPHwD5sZAN zDj-fdIhvDv1Im0iP@_5xbiHIl2>HJS({WvvXsuOzP7$YJlNW@Lr7K|Bs}r8z`_pJupOSVKKW^;0ej90=cLha?%{BmZB}avHkag^k^yAN^B^E!Q91U6EdZKML(G`zJ~jt) zn%IjCwSa{V2l|-yKtwgb8cU}{*~yz#7I0X5IOB@rE<>&HvF zOrmbGermLf(e)+gmCYEq4-w$*l~`Zm7GN>vWB5*cc1}Mk5t!++WCuyvOCW2=U|dKP zHsR4)r#~vnTf`Y?*U1KMusRQZ$Z3DiZZc-1n;Z-Hbz34qE`hfQ=yq*~C(~iMRYa`- zYC8etjX2aG`i;oOED|X=?ax7$t%&j4%Y%S>9Lgy3_!6kR>VwEn8CO)3L(zldn6wG4 zd$fz%h`|q+%Zvq9$h|8vqZ=AKt)<0uy<~(Ar^FJlbOU@#b!%p5 zofq*cT+col=+pJumlx%~;PagS#|10BT8-fRKcNdfych0DL7vzZjYSXSMu^c5b9^)s z%B_Y^+QD>i%=ucIo+m)#Ea?$-L)z|0%<6e-uzOk@CUZ}l82}3o-*q>Doelv zyL#v9FIJYPHo5dTKTvfOo+Y!`;nR4Pyi^ecI{-w_v%YmyxR@EUm$&Fs1qaGkCt-VS zh2$j(!vs%exa8}l0tfaDrg(1M;+(E&jEDPU4+w!?D*`^XSwTF2%xyz)De9nFv zOzd@2HTr}HFoLLsf|I)0mRQ>$+fxlzFJ){Y_AcddD@~X~Xn7H-iQde>vJ{#K>U;SK zr;cK%FR&|AMRYwV7E(Ld7-5u0#KxNqm?&ec0Fo<8r!UYt*3 zkem^{97>i`Ebr4Pj#+WgJ`k<%dZKTl!-tkN66jGola?t2G~Cjp40R7(-Y}<^Dh{_i ztL6IV@V&;<7p7MKIW_fXFcMV$t=)dLtFpOlSO1HOn>?_0j>fIeVX6`JVZLw)^TS5T zYs5|7hVWq`IzTo5_LW)xcC2%NSEiso!20l5XK@E#iRYezXsiQjTL*8mKh3JROexO* zt7eTsm_YD!)}>JPiK0BW@cW;&Svk4Sjo_RjO!Y!uu2s)N&7ocUytBz35FMck3jOQf zb{Pk3Avu2iORGP8t;rs-*oJIz7hlYu-0T9TrOV|&6W)>)`mcnZaTC+#s* zJLeiC%I?HwR3$$eY9;t0>hsN$h}O-|zpgE2 zU5u{AdLZ~#oxYXNlMZU{G_Lx zddW%(tArKPQk&1LBs!-Ux6n_6gThEQoCqT2)Z{pns3P8?88GkJiKb_Zj7;hTGhn@+ zM9@XZsGmx~{t|;1UI0m|Ozs90MC_z>&Ue_L~i`Qcs52^c>qs z^f?)}@IBx8BiTF-0dK=KH}6yzCP_uc#li97k?8~C|H!3s-pQ&mJd19%;2Okh^F z-S()B3&nu!b8NTz*L_j?mA7OK&&ZSg^g2N57OLRshU=P4!5_L|NHTt!pU=D=HNxCj z0b|EB`AE9P9txye-(*bL7?vVGslTc;%(VN)4)+uS98U}}ARG2kpdzT#n|q*>{Ymr)J#6>bYrewtrv+?{wPqEDAJ zSWeqq$ham#NS$|nWEO9hSnVaigj0$YTtk^bdGDpVT9UN&!IRBst1qb(0b#`eKJ77_ zPYXh`*`V(=Om;&`+@=8ekCW5QPFbmN0eH}deGH@y z&*QRrXv~pm60x+HmI}h10i{U8$xb0DHMIbI@8L{+k!9^l}59;3Cg8ya#e@xaNk}A~*Rdy;TZx*5MPzC3_Y#SUQ#yxih?diOYp$v5dqvw$x zPxsD~m`ptbJ^ZazKyEIaXWg?}hBx*>LKgEF-Vk(X=+9UDHVLD+=eNKM#m@BT^e2Kg zBs*K6-G!N076oB7C#eZ)I72KU%2sF%Omu4qk~yWTe?J3V>K zyjzp55+)Eloi!8(7hOgF_WC&P zy{>2KF}(N}kSHz+lK)p&?a{lksJ`@ewQWus_W}D>cVwL0x&aTOXTWckwiR49M`umt zpX=Sp3ORCeDT?*!e!Pe30y2DWAMHQpdbvbsb~t#NH*DwrtPbb46)P5~0XOOv`?`KUHUY^h z^hAaLYLl_0-?T$GygR%b$AP`*Evd7!2fUu2QCGVaW`^~*J$BwG12!Ly{ePce#N{{^ z!vbgJY@9`%tNW5yQe%@e6FDJr8ammX9M3$VwPGCQm$tgRib=Y5V2zIg@S?dCm-BSc zc1P(&VPX<1Bb`7n%4zJTeTrkLD6EdU>WZaWL_TAK{sc@|lTcR7WhZ{ZY^ zBX9ve2vSBJTDQSu6VoB^0NRu_geF~>lonB_)ispW(`yzfa>8&W1EP;u8fVj+2T##;Tuqa z2D`^$1TG}mCCH?kt@Spo%^U@yToDcalz6p6Xf00g^_N7 znS{h-&qk#D$B%KvCtr@-iD+BuI1Rbuv5HN4QD*gGw_`TWe6FtYsw z1l<6OJ@j}bj*4PMnQLfY z-IK5mZ_Ss#FKBDO6I^I(Du9leaIG;269}Hp8j9)?`Wq7F2d)VVJ6ictG!2At&->iM zx9?8UtAHr2D>h#}`TS?x0b)CW2SL{JS=UR!rWh$}>i+vbU1JYrN`lKXKyFQv5G8s&P|~9LOP$jKfc!CD zm{*h}A>v;%C>8Y=CH1B+`czOFKpmaB~16vN^Wm;qdm3%wPt^Os<}|S%HPn+3;Kg6}bB|S@e{aXaGv!V|f## z8Cnt80KI|+g%)x3n800A!HkO2TycVU6Q%^Zu*e_*^;SfMx;})f8&HgCtdSNVw>thj z=ZN5^#x`l8*dPePoCcvt5E}~z7I2t)K%@q2SAs23l@Y7)<;bfFDHba92^_lfy-#7! z^PVXe-7G;2;2R%<+5UZR1pF*#qBv&s@MCk#nRuA8Sh6RjZGT}9Ha&dYWS#$(-n0hUI z>)Yq4wM^z|pk&^yvd0!HPXFplu1?A7)3zU~BSVJ+4x`s>yb7@<-!284Qob>P$|yniLK=!ouaH`KEq4(y0bmL!t zWya~nzd*vyaPlv=PtRX|^X!oc&~nW5AADAqW)VsAiHbVZGes|c$z>gTC{i~t8B^NA z`_MxY_fjQ}wLh9&yt^^p(y!Is6~}2~lr`X#PK0m$VjG7U>kiOZOPE3czfKlj8&A5A zj!ERN#o<98O|>Lx>(_oAV!RLd>?Ib%}D zWGVQSlCu?&{H#4Nuo66@u;P|MP$xCdzm1mO9=Te zQD_BY1Lr}Mm~i%h8*hrw-@Ai(1zq!vF{ZEn_9COFU$Pl5kZ12Hvp_268KB9{9DJS5 zw+Iblu%3^o&`NL;mw1qS5J#vx^}q}vTBn6aY(Q{r!S`5JOxeH=tXM2R13(vMBmvH| za<~u^7OjYG1D7H*$JU$@uo9}^rM%rtxjbMp1v>zn@fvM~Da^;ZQhF;$fCMO=6?hs- z$d!*uHC$HYP$)?dcl~f{C>RMbNRc~`3Nc+kj#aN1oQEKiCp}9WhZ4d_zvnLt8+SgK zSR(Ol;Opd-;s&ZTMFL))D&VL`L9T$Y?2wQ3>XwT&ZJE|$s8t5BoNzA0wZsT-q}Sle zDR($0(czp#711!SRj ztiV}hMZ=Y~=^J2BfL}KW?V#mbm~O3rPK(A^Fce0XA@EQlx@EVVxeP7uj#Hu%6y_P= zfrT}xMmnJpK2{q5whlBOH$ApBV%+?#Sn!7<-3R@XeMv*=B&iM(<3N&?Njj}#atZli_I$ciP&0Ve-I&@~!y3nFefaEzPgthmw$wrIzOkW>BG=|?LuwVH!6(*O^nJJf5LVA~HzMRe)ZG~8pvdt;3l_W$E z)~DbvHr)DCjc%9Y_>s%^;=96^19@r7I~zN_fB#Q90yLg~1@;3dFczB{}QdlHz& zC1)Z9vi_Mj_H1AeHW0zJ)*wV~2;8NW^v>f(cl0_h`eP6-QHvHhx&!x`L(ry#NI8uh zPqaj|^HV&djk)Z1Os*zju<j(_!udY%9xO0UFN@N&PC8_ti(S+ho7p1U~P6QFGq1)1==AlYc+s*e)0fi5s{I`-UND&~jo> za6H(n4xfO9hRublvGb+KC=}r)@CHBsE0k-oz)9rPv$=N#W2C}TfvllMJGM2wDn>25 z)`CGz`!#ec5eZjJJpA`I*@H z%XO?ITeh*^voq0~!D~L!@uS;hIi;js&zB3G%>4Ls!nCOIAv_F)F333H%Fvb1w3sd= zbnPlBS+kx2N=1XzADXQ?R_3r%y&oT-524v8;)06_a`GRMfkiL>sJP^d|2%Ib zXz86{aTb%oUDr*r*qp^;iPPq5&b@!H%d0<0qWteal|;Rl#S&U<2cBR5-?HdrEpk&B z1@!V`b5h4T+7KI*+M?iHv1b?(uhmwNLKQZcK`@oDE(JaYugaFYaKl@wxcUe8&bwQF z6kaJnsXK=6aM__Vm9c}rxOcK?-RXMX7x7&0mZld*9MthX)KRJQ+U z=D#(6kx3RGe0IIu>)^2LndbUS@f>UYYfGO&ed0Gn(hpzk8nASB@fkJ(7x!XWja8|P zk<>H5G>R>_)*6J!jUm4q+0M8|X49lY;5xLGoUOqmkIp5@*fu}lkw8#71J7OIxtPuJ zJA?ih>k!kGPn!ZHkDGa($L8T`U#dh5-1?>N+7yX@^~CGKYlZ0WZuf2U2d_^Aw!U~X zGlC&dNxG?E2mV#?9=(o71v~>PpA0ki`X)t(fIyK+m;p}$&<2;WfUi+hpKH-Zn<+?a3nEB9 zwSolpOT9V_g`p58;zcjV-?IeWh~5$sTPRZd%IrN5UO*kXpL1`(qO)G_8bAdQ?-Ae} zuR9I6xsjsqmXU~b z3(|p*Ex+o^T2)YoaUD;y5G0+31X@gbd&e*?lOi|_bOl>U1+!_;P#Mi;F@=!p3#+Fi z#B~S0x!1*7jq$AFUQN(&6J5Ee7Lv}v9f*!W&imizaL@-gmSIHFw}l2puD*A2r~J*> zV{U0Itx%l!8@sJCXWW@t)?F8HCeB?xw8{z-HSFIwd|u@8-wU=o@91^-*1ijN4)Qtu zvAC^Ek+}I|lY+yJnxY71ROFIqV|k?w@v{OYHCv=-fb7^KrkAik{w9bEJ?IdBO# z!2pEL`+p1T>Hinr_}+mPgh?P~E9WP+*Pfd{iaI3W29V&kltZ)o-s;qorde!b6pJ@+ z&Eo2bEK($B@73l0d(v$H9(c<4bv(sH*vp*U_X#{IVTKb-1@8Pw_ahOO*JgUdahuH2 zELIp{1+$YXu9XHMg0L<{6>C&(_^@~NukOMc)h#%x=%=3cH16Au;pFXwia5?s6oS}R zU|T+ebS$ntun&_EU8JTYCFiRe6UP1G*WH0)!S{BP>}95RfCkdp-4^wd6wePXfxTt^ zFMm6+39Y-~%|7gPL6MdBM$!8AU;`0cYYjqV8`uN=8)!^NF3<3sfWbPe&?W}6&mTyt z)xMDh4p$tggi1L~z9_FU+zqIizDfCw*N0&IXvKy@rY7-HS!k1P-GG41zZM5#&1rWtCPv@N^|9_qsGbu6|txuNoP-9#h+wiubsa3(MSwQ+)j=HS{|>fTPZor*N6Y z#u@7XBt$KGQ>K{Yh%Yh?b0faarns6VW&p(6LW0vcND$jkVPNIX zsFhlp!ki<-H&Qi0QPY;%&hhBCu)ScCypueTos*j^9Y??^CJn|J6oTGSzU^Y#xkD8N zOkstne~cwTW)GgS%r{Dqu#BJ~y@wMOqzJYLs{yS2Srx1x6^!M;PK6YxIJzQvgNP=O zU1rDCUKqIAR8bFo`pBt5%3l;f$(0byjeAZ2)C5NL%8h@suO zaGzm00U8@{a}Iad4uHlPT8&>eJ;tVZWj2sV*lw~C-&JfQS%dwbcpvso@8Ru@Pk3I7 zi6{2pT_6xnYCUIyFcJ#eV}pQ>cPg6Xz4I4;uHw8jC8!s<#GS-^G#kujV0HXZ=(ZR= zz5`GS-5oU=A8+aX6VG}_?j~Y{HL5v&2>-}|4!kxx**ofSpTo4MFCxa9I5=?`tACj%kB-1kz;iU4*pn1l?3OO%b+T`x;B{XWbvlL>B{T`%!Hv1qT{WfhvoCd-JUZE&ed}k zYor3-RA47nMnD2d03<1aHeXGl4RBY?*D#}cpL4&sH=4WUM99Fbe9X-8oWS2@Ke$}p zQYDlZ2s^mj(Nqq8B?!i|&BQaqC-23;j$#F2fn>?>B7>cwb_+h8`!4Cg>*15HydA5i zh`?l?n8xETUt~-ww?urDOlJyGBs*PJf!H+$A#x)SK}wdKfqUd~90_!2!nyDD@$HKN zdAY^M=QJu-r0RyojH)|0Lb589y7jo}6CtX^;fP)Qzy^xV5LCw9_i@021=={uDw;07 zCjgz`iK|KOLug?a132&rHoYI(mHq)h6`Y}f@_3GIxz}_$E6Y0m>6ge7&Xb@CQxY*Ay)za$!0d}0b>G= z1fFW*l4j`D2B^e1ZqE{V26J2xAJWtjplL7}@bJ><_n41h0f`_WBaxsX)-q z@<1gPT2zYCeo>f&TJlDYxL8yEoF2Yb2_W!9L)gqNIU?X!R0)h)Ccau9cE=(ZJ3IB!;lmM50$OH`_=ccN5y}*5 zldKy}tEAGj5AZD&gV;I20=3nhB@ZnEN@V~Z&wDvWn%GAzpVbyMuX7~n4zQ2U>;Q{% z$8V?y%Q_Ah3JsmaPa1U9Mr~OT4N{PC5?2;;aoZzAu!XP?+(cKr9-PLZJ%Wx`9Q48F zl{5$JcuUXdC7280<$!y^p3SkG^u+FWPzJB}9;e?P1oaN9HzI%e76s|w;fEgJ0peqk zCOH+`lVJ999(Et@PdroF0*pzM_v^7;Sa;NwB&$+v$$n@B8n}kfUnVs3`G5KoC zZEa#;q)cEro&iXleB`GtssFHopP$&9*lh9?633EjS-I)$B>Kg@KB;~!j-Hz7?TG~# zxAjfd@ZD2~`!X&tbOMql2E28O_bgSSX%st$?OXo4i+wJB^xDpIS#s!u z3JZ_dwrcbru0)$ZoVX{mzR?IW$MeVc@Oe)>$hd~~aB^+d)Ygg>q&TYAY}l;^0X}rt zH564Og(IMgsg1*J*#F#;4IK(wx{utQy8KL>V&3Z_j#gp$1-|PYl4+2)2MWYu`AV#JpPR#$sEVWqu(56UbaQsHF;7L(AcUTcda+ygvN-#BAWk0t?Kn&^ zZhmvmHT68QiJ)owGH5~JR@j5q5Mqr4zA{3RwlI|6-D|JZODeHdyAV5sc^w|CdLlCS zB?Wy&Jaoub?3!?`kO^N-;Uk3s%t4N!NorVo^ZEkpwYaC6_&YG`e;luVJApPyxKZ>j zNx=X`;M4q&^9c7)wbb8|rH8b0b48H9!ZxSIhL-2k~fIN!T65Y|i0V_lTjs))!h-pGRuqGpA-B_Bn-6C`@ zp7dC5;q-_K7|e^!tGO_S?hGN&E)I;a8#RHqb!L!e4L6fE2sB`(VSH*m%8aeK7#^H~ z<2{xrR+Iwdx==pAL$vCELX2s?sWhgT^>A7Bd&{V8MzG`0~rLikn`j)1`iJ?SX z*aJW`N6DPx@)4sQq12r`VRAeGVAKSUV&0>3SmRB{XV8{OA-LLu7RloLqy<@kBJu%? z(OyA(Z{oG6V(I7PWN65W0eT;~XcVSN8Wr#6LaTF$pkPmma{wyEGgp*DH+3pnM;3c1 zix0WGECGgw)denmGYBG>B?qVzvuvULd_}n#fE(?^v$MKusZRnsekZ&val-P)7XDhP zRM|dAK{#XIUxe_6ZlMYCdv5*6p#}K-W1vsI@jLE6x(mhW^L-1YsvEMhgcQv>`IQV` z;3v|*biV6#pLNsnoq4r_lBGcxN-Vf6ZpU5Z>_M4@0(l0&QTVZX{d6UA@4RIs%rR!L zlbCB%sFgaYQ1_C3ou8us1Py{SmjN1W1~Zn<+MyH$v9cfgGZW#)I(|e{fWYrz=+w!7 z#!eCK+xbXmX2^jz^GR|IhNwln(!*Q0d$4#@`^ZTMg?}7du_LU2A*{IEt~V_#c=$cE zA6uH>GE%CbjIdbG07*(9vlSFo5aAcs?AOt}knA&GO12DtWy>Np#Fgwnj6F-!l`Y2o z=g17@1ybCNyHbu>lj_59@(k=1_g*GkU(=nR9;jjA4Ha_wfV-r3IITYW)Wq!+p(F6# zn@_$CP1??f`sDB%t{(^k-9X=U(Kt4vDJaymEoM{$E9|kc$$~jIkl^9P$L$^~A^nFa z;zRE&X=C@o#q%zIeHjle%`Zp04An7j6*>Kh`k4HrGRd=JqT27lIfLpR+a@qRMw;hX z5uHJdtnncze+<+M*li~N=axq-qdbe_J)U@5%n}Tiy5m`rQ;>Eb99-Gh2t$c?3yiQD zZibOj11bm?O^6j@Kp9BW4R{a=_*D2fZo;z!kL8RQeQzE!$T7sLJG0=wsL*lDIvpT`Y|d&ewgtv(EWB=}d|m9T zMq+iU9u|vO7te2bxQgQ$4W8vm3I&*WblelWmEKVNLd61%w1Y7uW>v+~3TnVC?tys? z%$)bXeBABSN3S0JqxQ_%bEzlko2Kum2}4}yzzW-2Hn==DazJWW_Z0l{p` zks6b`AUEmm`FxT+_|N@hx-hfPl$!h2w{d&|^BOZI-N^6<@d;m7z^qua!yNzz*JBbo z`UH|7h-DPND92NO0wlkpS>OUaau6_8{Z*edRDj4QXcur;jz+%a7h7r@2 z0n-+~FhUYGI>luqAbX8kzCB15hbO7F8>o@Sfl#xm{ndSmYA-9#5MQQfh4(euWKM8xBx$@%&z8P zrG?aUb75`FY9O+4#JhGj8oL8JJjI|`RhY%I5R-w)yck2?vjE&SxhiOxnJuoPjj$ng|6CZYld~he9Hi!sg_NTo3=Ns3pZN~m%iE2 zO+ag6+452lsXGGKI&}_Yz93Du;7&>=G0cSl8n7%xL9>x}h=v)*loO)VnGN$#gKUC< z!%QZ`&C){rRlDV2Z3eT66RLQ$sCBH72@)>OW0#mg9V?Kew3JZ2OS>tVQY&~)lh{KM z_G!;T5KcU?P@K)JOSOP7rSZ{F)X!}Wi9y{mnB?~tp$ zu%&+i8toJ~uJR_6#Qhm4NY)7!&9Gm%TJ@29hQ{UTDEQ$g_Vb5E+=GyBinRa5v zbS`lYeQjxr`@_g*)7uVb?ob1dMzG8~#w*(+yarhrjX{660@g2xmnJjS9Fj~AA|vd#H--L0u0&b&7CN>QG`y36abq}T{N zi@oL9&=bU#^?-<2Q?^Fc*q!vVSjb)nt|JVT!|U|Gcr>~+6(yAeUqOCm#Hy9Y=?M%* zU%oCHKs9)UNnptqdu(hnW?UaU4pr2nHt;k?3zBE3nJ{v5;&pzvvWu_^Jg#M6*|=MG z3CXQHm?7}MO;18|JcHZTb64=UiQF8MX~(kwi6!<}%#mL*b`4v#igMVUt>{pA*1UM_-vu9jzIUo>>Mg^R)vg4%y$AjZ@?SeXBa79t_+-& zPXh>ZuvJ)1QrB@`U|Cw`w799I7;V+%eD%n=0;ca<&0xaZB&`Myp*HXyq-7a+a?jR% zh}3Z@O^kbqNWIPqFy0e!dv zsmTDsc2I8?%kvV~FP7Ywi*3gZX%IW804s%4B0W_IM?DspG4d#Q#;c1((z3RQtS|^O z{*Qt#+R0go=Ff_H6Nya`wokSH6CPkt1a!g^fYw0n}R22TWeHG621a2Tuuo3JfAyaLKO23~?7o>}Vn zye;8Ke$a`_p=shdV)Aqa2^i9~1#}nDoVSHbFtSvkhO#FMi{;02*qRh!3nPB=8#{b< zwPj_A!zi1_M^@cQr-Ryq`@{f7ygKcp;H_H_=Xj4BdX8cf9v_SdF)=Pw7H=NSAuEAC zEe2uA`Y=s&dUKhWIaMtqF8Xs=>^-y1I08`9DJGAE{@`_R>r7W%zn`F_amL^a99%-R z6CR-Gfv|N-`W<070ZVTzH2ey^Wf^5jkWiKxC`#Q#0CQ&?d7op15Syt5Wdm3*tHD+P zRwFyan|#1sNDIGL;hZJlPWcG4%yA6@lZH!s5vdWgpALZf zlnnedCvycHc^%H2;T;_Kq8XJ*^v54ZDu5B2yt)$xCyu+@QIbda3s={YC5LU&NZ{|Xb1ZT0=kW@nO49kGlG}iQa67=r;rtO6ibabw zds*@awO1y|%irD?&;0%lH-|Sx`E)xbEotFU)vXt(b=F+Ax%dm8;OrQHhhpUyWS9uB z*zvkt6ep#&N(vS`&Hz+_hg#*~O!+{nDV;+|el(Of4{rYK#n$pJ(_G~f9 zI`Oh}NK%86jrw#YtKpWB^Y}<*(%+vxZtXx2_PG84Z@Xv@nLwo3K$H<`>lpyIWs?!H zF8D*(5_RiqXGm@BBhiYE;*F)jQsnwi+?^%vCb0d+znY?gRvi7s}+SWC~hd{#h73Xm!4B+D#Ux)u3n4Lt^ zP=rG%40HrOT2lDJI=|Fg*$3F>2U074pX~Rcsqc8z1tiJGvtiq6%rGRVw1w0}-6% zlVZnV-OZYv)tl|*LK-~sZu%k=A?|9#1Xq`E_)9Lz7U$4y{akc(2WFrE)vHZFwHW0* zR_yLa;)({*p%f}6q=Agw2rQU<=)gVKdhF-`?+7Vh`R@vq4C3V4gj21V>R)X0>HdF7 zlDv0cI_!~{krdX1pq*g~FBdXdI*tR6FW?HHbDzfbr|!f2U4$j#oed~yd4*`MX}iX1Z`<1&jgp?@(fUgR)egt zK=BBUC(%=I*DFosf~og-+*@lqqD01qkCzza20qvSar#cnu|p zF*}!V0XdyXI;I0genI%$K>|Y$PIeFv8zm#^Q4TC7kZ072M6`|s06L*s08jKm9E){! z*cQ05yLe=8M^ng3F#Exsb5oG98nCPn+dEDQPv@Kf z+!iNF4tRu7Eh8`w&EzYRFQXWunlM=*BqN<*#=-1>>9H0{YKQs=dwk@i^G{8+q;42w zOBP;uqrp*&X7X?Wu^Z!LRxJXj87L8(;@dqOx&BD`3R>A!<;?BAe@+Av;fJf)0e9PF>}g>5?VqM-V`9 zn8|r@kOTycQct{74O`+$&e z=fcs225%K^al0P}0>Zuy*9pA8YC_x$olSrYgc+Efy4yL4y;K>Igf@8a6QVWDewaA0 zk1J9bJ+PxAwlSUZd0bVHM%-FLMr}cm%3=@mOYiu?`Is}R8C*FRZEnk9zRJKPXJ>lo zTNMGW1K^ojpoO61q2X6-3~wxMe^YF7x$4&r{NUdoJD>bmY+k3H6wr zxots;*ZGZ}U&3qSyKr*_dBTr@DEsf;e}n6J^b?cr>q}VB!0e|ZKlc6%^65wJ?n!?6 zbVWzDe%|%t&?l$h=kiA?k)Tcp9=QAuFtJ_RK$y&;K(fjpM63t=^>fd0d%Q@MY;^k^ zXH=|u_jWu#r+21KepF#;Vcl~A0cz<6?|i?|?*s}05qYybk(>!R)sF{lV%?F5I|YKn zef*^XC%8I+QocjUJOmm0g~ZK#9E<5ok+Sl9CHpsubOI&Tq3jKj#m?F3MIFIP^Bx10 zfSdOa7S^_65jB&b%p|t9De+-QrIRSu z48+0>XflAPdF>onrXW&`gdXD(sKKmch1De>Jo^-Ut;1;#7?EHG1(DJw-%6bNk+`ft zJm^IeKy#A_z=QAjJVWOU7)ES0Q%X))zs={02-HI75STKzF~6iKd<-@kt-`gB!YH)3 zlvEa=!!I3#yg<<%)IjF>G!|jAhQ{Squ&cR$2aF)IVv;<#0KaRV9ZII?D`41qffz1{ zJnN-`Q@jmp$YrOoX21M$S{Z7Q^9ejS^|E6FtW`ppU>qnEj0g=ofDh^Ll4%BU9}sv# z`w+5(B?hh5=w=kJ(s`lhbF_g(-wgLkhhG99Sh+`jE1!!F`Pu#ldTfqjo5GqVyG;*@ zEoEAUjhw<#d=!?UZ|wC(H`{2=(4s0Zp2baBQYXi=!5mBHqqrYmiYmzOoyaTozQV?O z6jggh>>joBVIkk9zzBB@WGAF%ZdyE_eHUNky2ziI3GxmcotE2>LJfCgMs@NIBIS3YE{8{=}Lo; zg5c>yxJr{{$M@E_M&%Y4dfisq;o|woUZ8k{%Xev$yN>2M4n)H8p2Vt^l~?>Q2T<+7 zd$9T>Y@1zk1V2HNzaMME7rL9lD_a=sS?~is{q*CgkbRVlb=VPlwsA(~()wxaC;_jm z`lAW6!_YehD@pvp-x?tcPluDkQrl(&QKnE^&j5>Uk`S>j_&WkRoByrZ5c+!@rBK3B z2qy7MalOQ$7WdSGKDmuH&4l#>sNYiiDsBya@VV`fs~U*+%|pa^3dQ&=zC@i-%%9K~_v%Lt|c$kGY8!GQ_Tb6*Z(YtI8$BPH_+Z{7y?gof> zmcT0437iAZ)nV?(Ovs8;q6I#oz@FBoXu2*T5`6#y4w5{^u@lW~V3GEMA=TPEsFM}u z$p$>D#)OYuwOX`hCI}r&iEU9G+a~}XzX3ya`5@LA$g4pzHn0%}pp9p-&`;2W&30ja z!qg5R3IfWSc&yLUOOAk#`-cp^`5r|2FsP-}+8|9RwTIJ~WGNc(~e6=yd7LZO~euq0HZMiHb)^Fz`S$th2!)LZ| zF+%RY@ehDP^NFC8oT~T0SN4VcTQe6~*W}m;6d=Ln8K6>Y48jD0r?Vb~=)`-$^Foq@`6jfzdNelp(4UxL%>kMP9Gyn-tULd70on^94l2}YPuDz$;hNY)ON zYvdl!90lAY^)d+GEI&N{zW|rL*6_qALo2!|5o@5wFp}nPRCV(+7UNc7adN5E+O(_V zFRw^Ya1Dc1h;hd4t)y!V9DS=M+%Dkq%Q>_Mpm3;339&#L!kghL>>)TY6Xr5lyQnS1 z`{MUCEkcRP8z&Pt1dkMDCx9~Og9t*E`-{br86RO!6&HAg`0mVAlnZ-+rHgY^;S>T` z9Dz$7ilmt+u{h$Oiewi%4DEId?TEBV!va~342ggbE{7*UYyAk8QXN0(2$l<4e5UL@Ig^aNB3b9v|R&q|~*yE5C^{ks-(zW{F zrHoJEXcT0sLTtTg90-qMr;8rUrzb#D2u9Wi0XpM@LhjKR6@f9_n?3v{%r!$rAYY9* z+qenGOyYi6+%t^DsrBk$M)TpL=vB zPPEVUHa?E0z@u^p!B?W_zhRvRKlFxOT8$CBV!=f2(wm(KSaoG7Y&TEv zvmB}8?{(zJH8pTq>^%ZhYWlg!J}!9lP=~3d3T`HEv;?Q5pv;~DQwqK?!g7(f37(;K zDX^J8E}1B0p9LASK8UM9?nhpn@YUCzP8JjqH*lrwtadx3b6h(4k&-~m8B2+s&9*^F!+GuiMQI&;KmVWB@+fP`BDpQmeYed z2y3c@=1j?#82VUIZn)({k4M;Hh3f{T0vyWbnAF?7VJ!-PF==>vfk z7r@Y{+zdQh<_VOfz*H1NVa96=7;5pz)B+l(EdatYNj8Uw0fZAAyzWb@G{y4>%3TVmGE;tu`)5?^MnH zzp;jU^!Sh)d)=uddP|&E9@v&0PWO+%WZlBCEgI*afrZYGgn5$1OUCH?sP@P4M1&A8 zg1qEzk1+Y-?RJfFMzOv_y@g54L@gB;wMe=0p=3{zL%|eGP>(pvmFbU(xc_)Qm*ES+Eqz0KbVo|j>fBz?`Z_}y$AQ1tLU*Sh-18GKLmbH9z7#gQOc%NV&KTib4K z>s{6V9M34|P7PoJ;-OMBeA@X1V4#Mrgw`9gF6K#-9JUk}l_F^neT_kwK=5>^+-i#Y zsUKhhO(xY>&WG@yC82%#rSO#rzwzG&6|2 zl}B93bNH}0f$x~m+CbJZ2+7)l$SqTWV061{)c!b;mj11lGQI~loA236RK(x!<8*-= z_qu_+BD3zR7~0Q}8Z&f^PKV@ zh=hGqc+vZ)jeaY+G`g$Nm6~J@J26dhLHfs>@9}{VblW)l+MHnsEu9lQN^PRDe^$3;Vpqhu%8aLbna^* zsiUi;;6xb`c>5JSA_Vhu%9*7$J~XA*9zJR0xHb`3kOY1)!zFkIQoU>($%&_NGJv4-^%K1s zP3ug&Fo>~zr+$pR;JuKt1twlP?&AENEtu|t&@R}5hA@?xk1}3`A7au7gDXf*WA3{j5ZnZsEL^H}f79_QCB)&Gq2z+{-(9+ueb(564#2mRoxp0qq1O$?kR8;j_NH!dxAva?Ft+);G?>MT)f6PL9drW0j7l^9 z)(B@*3UAKVs8;1`X+LyF>ul#&eH*Sb5}WnHyXt-zbfTbMNwdb8LctH1aDu< z1(x!dMlM??3Eh7!hhOC?C! zFT#nScjF2vp$Z+U(qMoJb#18f>_z0k;z4&t~#C(6j;S|M{p_Yh`+?42w(4`Sd7VRuK4XH@LDLjkAb8csJfCD&xFLf7u#u&6b8Bm9UB+} zuBH{mHjj^7qZCO1eYmb+;C~>wHw$7uA*g3AHqv#63?_J7211vZvjHvD z6x6?gFMKCupc~(a!(6H$rwRtT!V8hJbUo=QDTP#e2|SO8V*}1OVDn(G1gF&2@ke5| z<7V%+pS0_Bmly`55lFfA*#W8`<9UhoxXzNc;_#gZVV9h1BE^x4Zk7iq-e{6_;O0;+ zZvu4+hfjMj9nQ0y5!^)in^ZjWL!u|aCV>JD$GX=se8uM>>Pl@}lxi^ua~PDh7-Fm4 zf+(PQS6UA)RbXv$0`gH5+*prleO%MvNVcc#1q6h&s1CuJXKYrdlRq8o(%-e3MO;5FALt)Kmk}OrNuF^O?ij?*?_vduQ+wW3J`^7 zMaL6QdqG5G8PRXgUQsV>1>=iO%nD*bL zqkQdw{@tQ2RbT}wF2`a$10*S7HAU>10t)3Mc^Vh*f$pkPp@Q*xtF)zX380o%<`;<3 zyoxEv@%PSwxFh(aasl4${3MO;$@|;`y|8}uUj{YC#u|@K>6gCs`b6-`hTGh&Gj-xP zG<>4pJqTXOyRMt$FMdf<+2&1Bs-59iTt^@yD|zsmMVyNShC@hLwnwvptTsreqKkA| z*-VuD%P*8!h%*o_0jLe5h;ONXDjRS7D#Ymm1{y!c*Lc%g-6T#Oy3aD)-#dE>yHPwM zQmye-L^a&{s8A>H(f2D85qRB*ZRr~46?aMgIuq10>%s*%Ew}ZMb#Bu;0u!DFjNaVyXayNI!NJnfUSdkbQK z^A!D6CN12-!RL1#Hf{EGe#ftlTnSj8h#zT20R-lyIEYKFd?vSns*MpK=Az;e65^MaOmty(ZoFQAueeYwxZ?t@d+0`pU@084 zTLz@$kHDN~q!7~s(IwcF0P7-C7rREtGVBgcLQCFW=eT*nn4Xm~&LbD?LEoUhTEDa7 zSF#~PYYa`qnn2c&;(H6MAYF^^c8o$wy4XFl%T@5&Ny6*LH*hH~ncP>VxABKGN8xgx zOB(;s@NQDsYb-( zBmK+{d-mdtN@gGnm%ZG5d?NwzAB2Oi3_NbEI7tl&&A!-FN`bUuJp&{ufr`=2N<^#4 z+`!8_Z3hXNq>vpT%!(Rvr%Tn>C-!0#BIg(7b{t%@_XqNC8LC$Aie4o4l@AN{R7p^RbPyf>VNpRc((t4M{K2d zC*B+x3#x*1kud)&s=*W8-eja`5Bhu$Sb`&0#f_n_`} zL;8D*zL@3}Yd)aBT{gn{0=B+y7Sz@-k`_!6Ufnw|QHnXc?+DC@vv6%;m;+#X6ApdmceRF76r}J|^2Da*@yQ9;2w?@oY4`?y#mzg#oSAPZ&K+zj!mof*$ZZg# zf+@->2#qcDO?OdzJ*adQ$+}S-1%N%P8bw$f$rU?yFtMFkB577A5M7TM1ebZcf)P-0 z3{X0d!NVKFRfwOl$u7gJEQdEGq%92}5>SV-!Q`O;g=}aGxXeHT$$WS`0j4d07iK}x zj1i%n#~Ao3rU?o9{5ZlhTS4M+bp;EgN(xdwPDl|B1IKuV*FNB76i{WlnM|@4HOpM9 zeO--M6`#WMMGLGW_6T;;DUQ(xL|8@H-&L{8EL#qVaaaUn3yoO{e$riF{E0hA8X7o5 zZJ?CRmo%mN`bJ_HgN5+F4wM#NC#g%+ou9RPlNh<~UQG#mNwI$D6-Nx4j^fnx~}nKGVSi$7lO37*+xH;DVwVi2%Y0~U|tY~`T&f6LWcvHKcQ}D3ZF?_5X){E5wEso*=0^bw_P`CK6Y7Ku!M7wqYc=m{v@Hx)p_J&3zJwhvJ5ZIsUbI5_(42*;Av0Qj0R!LvAl}LDV7uBh$h^%wFkk~F)MwZP2pcS`gof+W~*L%?Y58mnv|v`~5t68ZSAm~KZkO!l5Z zq&+0gPr>xKtEGaBjpHC6$CFXaX&e4VmF$qT<}L!%I^dmpSm$=sry^P4Q|xpwL0}vg zVNT4$7#XvUyJTJDY40i6Suu8>-ct1XB9G9gM9BigqeOr)KLTSGO(mcHR!b;Fj%Chp5&s1djX~5i1~eXFL@{r4g^cH;Tza z>u9!udO0E(%^1tZwk>4t2Y6-gQt#&X#`n5sCyeaj#TUWvkr9h@b|?Uz=4U{eCQCEc zvtsui4hrmM-@{f?fDgT(;f`#3C~@C3nJu9k2h>VBTFf&L;i5sNaS84hJoGP+?7GZp zFOZ1CH15+#5Z-Ll+aZ7l#?`(ZxhLW0xH>|PaP7SNC};@ zsqG4bFqJ?h*Qa3L=T}Z7Ne})+$XRfiEd_pb&+hb~UWz3}2$JXDj0bDSuM>}yj*uU? zAkqs?yQw++42sRDxQcXt&$jXT{fFICQ;+w(V+tkntzUP*8Pz;^J%=Si=aHu=sT;aX zA4a>y@}&I{#wfP#_bgEe zR_>{IW|g3KT_hok(lZnCCr&&fitC(UhPl}dTR?mnVcRTWG;&s?xv`7fJr9*h8~S1< z=pRLc85|FtK;01{UO4sKNOp+Y*%luN2y`%&uUc z3Qbemp_BqIHB1Eo6CXi7k760&wu=$^=LBQSjYD2aks0M%7{R}HxCt(UgkqDKCaTLe zP|}Mwz}f7pCC(!-j?3o%rOsvIhJNDmwPmY<9$P3ZMGzK*;HyU%Mtt>vdGlvoTw(S4 zJ=kmm&zK#t*Jl0h=}LwJ6uGycgkOtNclut5o#Jvyv&og|YkVncKE?gQ`#VNR;8_x3 z8hS~VJt(uY^HCmk)u!+ql*%vrgkND{yF2St1-v{iz|#5PzK`vx;m-6gWV$=)o%w&Z zMCtcGTb3FK+qf{VGMUxp82~qa4&`OyZPN9$Fe?}vy3wO@MfH) zb-1P}7eJ7LD8_<#WQTU-q)CsQiL6yd$5al(*m0Ald>F4z&X}QPg^|u;>13C-Or3F7 zinvsi-QWMd_Zkfh(A`7w=aqHHtTes>}-B+((HP>c^6w!?vyF+mpNt*5=cBRe6eQMs!W@j2T@l`&3?#D z2T3eGSG9}gBe*j}k|w{nP;29-d#?(n6enKNLp*RToC&B3*Bu;g9CH0(=vLLSMy zn`NYxZJ2n&ZD`{{x-Q@yK9? zhY46pxoAo(c)T^8c1^W@Lg5+NUOI##>wq&LUQoOA> zH^rmHm<9B!O2;^FMp+};SQLac@VKZy5q3}*Q|+z#$`ll- zfE`SLFQTeoILZ^#6x1sP?xnd+E2Wtx`fgl6JqoSJ56|1WtIbTyMZ)cwJ9zR(C^IUa zdbz!!*dH0|Gp}4Zw)7G2td3O{6=y}p?<`@s`3m2|muhDA&fIEz*2@lA(NDOC*)QZi zS`>07U#rtbA$iu_kOn=83=C0}8JAZ;IrW4Tmfoh1!IPA+C`6E&$^{>74f$jU6ipK& zi6c7OF#WC1+Ww(i;-wQ)M%U9ximsS^;>|HXbtFl~6RdQqI~!p7XQ;`R#@b1s6x+AzRf5~2mz!fB=gXnTc9q7S z^7I4x>zIC6F8-f1?8H@tX=4@@v&ONT$bLC522&N;kCoE%4}38jZxa~N8$?gQc%g^( zSVr=xBSYyiuoU~ZC!b2Qw!#PAm|x%tm_Bw^nW=Nz_WJOTEw{9WyS#drJ%5RpbQov? zK`T8|1mxyx+UR)qiQ(W*zjms>^;71Yl|OpJU3nI@VPhc}zMM_V+mC(kQD}q6agf|K9oE!twpZDmAP>@ z>034t5FG^QqM1)QrwvmfnMZJ&K&%2~EyTsE@?juNX?s8*%M6U;Q34&1$PRI3O-A3A{Itn#ng){z?MVyqTmC+fSCFltepwwLp#LQ3HIU z^;}~}22UMwX~;ohrit#0L7;XEI_2MG>YJThVii|9<9xnqysX>--@93c?Htu#(_Tm& zV{IXEK}YFPA;dXRU~pAMWts6fTiHQO@ zmTolbZ01IlFa0|>_}VX8W49^xvA4cOXIWqn-pxp_<_}y(Dw?RsCWVNDQp9W%gLFRGJX=WFmk1vn^i!(qz9{ZT>(G`6#ev>vRKxrycf124T_wl z5a+z`o58ZQhg@Kk_KHk(rE4w>jXPX@_)IYrOY^`5n#%YdoAo3U~#UXkGch99K$0R{JC>>yTR7 zIXV>P6FBR+*jIUD72ueIQCdcld8cC?Z08N6GoF>Wr4Cu|K%@|}lY`VWQxdP4FX1(Y zHc^(yyvphav|_Ny6T80{cw&`B{Rf>DaTtqV4BXlySX8r0d^unhfkEgnk+=o6I*iqt zEUBZM(IX;zHL5$3bTae~WFnNvp2ea-*mD`ErdATC+sAtK%|MaX(;Zt~aLtDF+^obm zdNoEv2Ra$VU4f4G?#7yM`&b)evggOo zent2}3U5izD#gc-CBDibYad_@FQ2G2@6nHZ47`in?+vet{}oQ_JY2yf@ZDe#H$~|A zS0-wMc;anyyeo4Ht&hz)_svf!ZBU3J6gRH`C563iskT5kXcCl$qAn}Yg^#w-3r)EElPY_Xk%$EGbZ_eaC&@F#p)Bo4C&p$Asl|w)3w8{ ztew4C6&wK0`=vBLb$TgbjVjS1@bUTeq3FnbgSap2tsjDYV||yt^$WGb>-*hT?;Z`- zTtWO*PF34Y3Q?lL*%W6IFYaQ}4x$l>UYP-Lc(0d5B)c-D^ma3;2&`vfPy9G;pNsVi&if+9$^S!F^Ri3PeHZl#BaBe83B<1O5N zljo?>?&Xl&jn;;r+4YbFnoDM*k@a&#?A`l*Gp6k?SxF7$nC2=3%|_hy+V?ICRJ8r! z;dd;GXcUWzXDcB5Yqv(P0XL2^DH z?n>ULh2-RM7u{4Bwr_9^JAJu{5IkBJCFbObMLs+p|9ue|$PGbcvZ!pnAe=^F1m1J1 zY+>`{K?o+pr6(!1yBfl84{>|6u=!Y-re~T>FkOs8+09_1$|>3^36bi$#uO^6@@~qv zC1TX*H-b;qdmVRRp(Tq^m*pG4jJdY;Hc|A1;DV)lx=f`hXKzSsKCJSVnpw-xUd)+Z z36wT-Mbg8UXhG>>L$jtX0X2)BH&(ikIi_jGnijmQ3S=)Tmfj?c%s1+06{IAX zW;Ji4OB7Z$^9qnq<|B}DStfj7tD@*Cr0RZZX?X2q)m;a0vRpF`1Xm@b zkQ$~sQqfvMs2Gr_pMQPD`@cR`=g6t5N$k!?x7_HaX_3 z)u9&^*bJamRBJP~PfWZF)cKbcA`ra-25g3rAGs_bvcNSsc#*0Y{DQxG! z!i?MMaw)^dPC|gZontA2(bIB`BNf|O+x3SbmDJYh3kRrAodfwJ#y>d3*GWqpb-<|{ zMv~_C9dB=*GEb-72>WDCvEUeoslphtHZ{y$$0)V3n-SK`<&aRUW}gOcP@~qfQ}R-5 z5>>=TUm(&^h*Gu#v?iLUml|gQDWmTOs}?b!(k@-3Rw+eBp@ey9P%pAgw#w|`2|`iQ z_XW)*7m4x^XO~q~JWW-iG$G(=q7Xac+o#hr4sE_n;452AJzb!}fiR3-61Sdri(d;I znvkwYQ{Cty#||!aD1i3;!Y17|ixpLGbA#yCMn*h&tm)M_5$@B* zQy6Y%=TGNPYgJ=+ctg}iB`?Y#N073ArU(V^iLP3t{ic~{fx(=rtD3A5 zwXM4^`*2nimLR(p>_S=Y$l+;s_37O;z2TRAJ>w>3-SDX+bE|IYpW1zEpM8^~H;^^_ zI3%@C@gjg5Jwz2in@{kh?_J`e8++Ovy(J9UZPS|>sUQ7vn$`GmhuV?ncIWUa`p=94 zR4TNiUNIGq5KU0Ep%DEwCuLCsO7aR&sg2=DO(ca>;1$?J!Of6-T`s-KBWBJ;< zld$|J9}vGxgXh!7UXiKa#>?o#uF-PgfvMNl+!%Xu>y0@pS4?}sgop2myWhVSj&Lex zfV5T$)_j~uKJts%XPa$Ce{ z>COdzti_oDWw9i zB}5W;;T}!eiimNi<=Q3UVK(WUjH|;TzwIqbe|a#=TN1vDaj7kpck%M*TpDYG^CjN9 zi%{lL>aw7zzCxF&J9`-xLCQOeX*K^wE_xE$(W+}0=O!J}JKFw$Qs%m;G^%s~zg!j} z383_>M$7Z6yy!?>RD%a&NvdM9X)#6x#Z#3lCR{IFC{^OCvmWR6SjiYyJP}r4Vk@MC z1_7`9;$!>6JGsU!Z>tScx1d{dKus-#Vrj+b?Pj(yM~~X72?K?BZVJ9r*AcN;f#;$WHbDBonTIIj=9 zFi&%BBDd5XoG84MBy9z{R?^AJUFURYw?QoZz8;zip`uF93Us(zO4R3g^Um`%bXNma znFjY&&Qw;P56RJ6V%eij2a(2c$3N|Zx~>wY#5BuR0;ffzu=NXAXCE`95(a_vmbA^z zT2A0J6RxPxs}i0@jC;<~eEM(8Zr6w3Pc4ZcrY>%|UyHl<%xEkbB;k4V^Wk0duJML} z?(8z3=^!W~4JqeoYTvkM*11#Plf{7PO$Bm2H{m~i;5Li_5tCqfT{i2cXU`<9{n{hD z`*`st3F@8@rIe;f(zhfxAE(SnI7%ta7nUYs;@gu_SCdP~zR*5(uWPCbOgPig@z|hj zp35qw{WA%7vOa-twufpXLl=i@CZ9`|mqpPbh2(67h(h4ybW;R%{rbA8%$R)EQwNch zf`qm9t|0C;)XAdblW%LbKg%X(eHaGmK(H#p-~RmT+`RVBTu)>-+=E)`*Qk`su4Ixp z!_q41zf$G)gQzN?+x%D<3ipuXow~8tx$=NBuSx;3((ZzEaqsOeebRvya}^u8c2Jp8 zwu5NMrKD49A%c)%2xIU0N?d&+Z~=e9y?F8)1a7yekrknx-C#-aFuxmd#%5RRWCa%P zY^~vLX%9uVvF*&HJLP5~QHcDuzb`pAKC`sg=Y_HZ2ZvmnV2sa9A!tT>zbwc;3;S9N z-fc7zLKiddJkeN8zMh2Lte^WUg{n$b>kb4M)l*G1{U=&qq!{lOy!TG#J`Sl(cQ;?#mN<5cQ~WDQy>ixNS%(;c3~w zG7qcPv<9nEd-~G;aT)pau(;6_E?BNM#YWm|HCOqAKon6$tcb47tBd6_aaqLRq8g)Z z9Bf*)nymR}x3nh>;cj~!pS9?1cnSOJ+0@jG`(0}`D_UK9AW(Cp?$}WA1XE^-S$y+# zymC}?d>Vf5O9y-OOK#7bWQj}yX(V7ktE=6C^o)=4pSw7W6?0Es8(;fwXbCwWs4;_R z)f%d0o68O?Jp4U=JXIxCB!TeAZGm5zSh8<mb)r^ikQvy@*u=f5DKXgKkzs~~a5w2{){ z-ltPGLSJD$EuVZbGg2o~&n1#hZ9584g22n^rpP%8ARajF-4k=KutB6IlEWea-h0}m zX2`+)W)H%C$e{hJpO$u=`>l4djxW+3AtY|!<)rSX?`E(4IG>-lrh_aht5m%5t3k4_ zT@9l8CHWnBSpsuqHZK zialE)O4tFOow}OMD=xHt&x<0+>A*L&WPAR`3#hj46W2Nt8i`p{nyvd z>}jUR za+*aOrJqz}0N6u*Q%$P#`#ZdBdfE`FIdKSHO$bEcxn2%T@^T;9DK9$=pJ6Uv@&h+> z9Bp|uwUrOXO)#RZlqA;2O6ncFfNIL5$Sb|jA`(@K7;31C){!!!nsNPml?meNYzdi~ zfrTV5gi}Ni%$I#=W>;9a29hTJH#C-I5q zU(NVJQKT;eE|^i7fObw6Q1xb1M_SWY_{!AsVH#9KRU3IaG+P63fY9!cSg0f4EOCSN z-r7MoX)k*|yEXe+abGA!qfbD%Tn`I^@FBVAEP4e@zM7N0Np)le=De?d8IZh?EY`CT zpZRCg>Fyeswid>+;rL&(KW4%yA->Js>mP|OS;u}^T{NmHZF|3Lhr~Tk$R7xg=cg;R zSwloOI+uhb@(I1W-EYsM8w<%2al3;ZC(3EbIdU0zxim~sC~p#jyFIC8(+B1`^42H@ zb<}Nwh^uC9AHAN{)7!J!RWBx9{Z#!w5BP$&zQrY{))N$iG|Jn+JZQ}P=L@`BdPj7% zqm_wo)H^%U^qJPsg8S{?_w}5^-=f5zI_ZxpQ}W{&Tz7`3&%inzTM0XWKZcBLwmt7Y za@xn`l9%(eL{Qs3LoMWwJ-viQHI&T#=3Aos#)~6~RBT%G3Y4`)5+LR3Zg(%_CJJWy zB*&uq#@Dalr3=)Mgbb2tDEGbK;^X)eYNPu1E=YzO(Y5dWwezR*18;PRU)3^Ze`tDW zB0T3OkE>c(KxEVB^k6v%DZ9os9zMMkb>Q`2Fw94Aj19H7qg(qr3|_1EC~x4((u=It zb;3jNoW2ljGwk1Z>(?S>2bC#hJCN2GCzy=lH5T;dmQK1}q!-a?y?w|pn|A(2BzQhH z+_YXV_XF8DC7g=wDp>g%o#vXZRXg@m!D0RMXNa6W{KwXeagaq-K}XiTzV0G5Dh3UH z=q+7sxZ2Bn>o+0x=swbG#xX&iS4&q=XKe@3nX;guS@N29UWsX_)`p{^Jps-o&t>Rp zb9AR}h{N_6B@{{G1c|BQbgsL>CuxX=%s-GJl*pIl!GNlS zOeOMP^hx6s&9$Pf>!`+P%JvauX>hF&6BlL+s)5}A2LRKm151?O#_m|76}(q<`vUJ= zcB55;rd)-)HDzLh3sonT@##UF18Bl5q0+l&gz}-n;@4ssq1~!4ciIeAYsJIpLXle2 z(vO3rFCb=I^N&pr&}du?dZ=j))Z6713JX*ED!j-H{%JsIgm z@bnKU56J8V2kmmZ!Y;VAhbeDxnEc~$G651uI&X71&We#`mSjWcxy4D2@@Wdo3`Y@p zYc+}arSGE|e}>0sFtw}rx+DFwUv?)Z-yMyvpOf)+~J~0)R zsh~Z8JUO>NilQGhKm6b>emL)a(OvT#gB-R^_^ppeouSK5W1U`&5e5jVIRcC7I=(pz zzBc({I2Vhm#pgWIyfv@Z#DYEspZxxnrn3F-{-rtn;!TME+ox+0GJT#EQ;v~lcni~K zz)b#-)eewubI0SK&P7@a z-@n^m@Z6Q@j3xDQ7_4j09ZVO)x5N`^kG~_i$THlpKYb^G#C^5aHpD;3Fx!Ff!-NMo%?bDDPthB$RLX-FvrE;Es*0?n!a2_;d4 zY04#TC4UeBBHO~KPp}jEnPgm!UAMe?HoLPie6r*hP_s=2t(qILHNZgjFwVU~vDW*M zqPAtJ;cQ-pK|6j50l$zkypOVtjT1B0kw--;KnDS`wHCY(RHOsIjNKyAyhsfHZL z>F8LDP=*$rbO+>F#06{tiaN+oHKaH_-T;0|Ll@nzwoj7u1#$%uJ(O_b3g5K511b&( zTo@&8T!tf^p{+eneE~TQ(wzNE7UtXP;^y)^p3R~}gNoHP3UC#|mx=wn+{cQ9!21=> zB1b^G757GNgNNUq)}DKFDp5LY{qk_)uK%QO#Mu(};I$m2@U0>5;yXeRi=L1>uY6Xc z^pSfT?(|m*`Z51Xx9pAvpS*YXT_VZ(8g{-XFC|7U#*3xQAGpV@9oFY5m%HJ{A)mQZ zsY_1(o-Nv`8#GrZ=Txo`wXZ??4?qqIlVP_DB8EXNe<5>i=URH}S37hj@o|XlEn~-) zY>kR$H+!zB{&v;*9F}mWyUfuv`=&oLo-QqoPKOJ>o(6dJ24r4TziBcO{^VN^y2j*F zSr$R89C*ue{_ywq_~Z{p-59Dmg#|H(;oTb|$w#>se}-Mb7ryA1SiH&HyWf!1j<$y% zUpjc&=^i(@>4ycW8Ts9d+z^?%LE>d$Kf* zxB4@qS#87B8GA)c7A&gahd-4!TjxcWb+1|(uW^Q!)uH);nsig)n{pHq`;x%Z^+OVrVW;xaxGaaXwni&Q5T9mx|VcrFmRXE$KU%rTE8gL!aZ zS_vyGu(W;ArzAC(X#Kz+r(l0;WDXht!aj&<$rH7o z_tkNg&=+#|yyx5aAvXtUbk8J`Mtenhdx*y8!BU4XxBh;Yrq8?OgKeGb`+ly$;l6jn zLFAH18d+`cO4E^ReSY|v#GSls@2Ed?b7~e9iFyolSMzi``>NL^*^!UAGzYU*ZzO3( zv03fwTa^m^HsEQ@TKn;#)$pso$B8~KjwWrDe&Dc+r%ueJE5ql{yVu;l1wP0fdX`lT6(!iII3u% zJA^f=pyiR(%|f#*@Bqx-a!0N#9Kwdt$=JD!J0zW5 zxJXhPy96! zDXIEPH?(wNXHh1Zo@1C51!;ovqxK<8>ho8iDMwn%-8bAAQpFrGR?*SB zCf($eQu_invQ4D#%9u-MAa8PM9Vbbt24gcE4N9+w?C2Vmmne|n@oXU{qjhI80wy=y zx#N-(#F@W~O^4tHg{B#L-PEz=#o0$~H_yh065S8gW2;G_r~K^51uVTDS;=hiKE5^y z?%_RX>>Yy*RB7v)85J6bTgz1F%bsx7PHuY*cIbz8&!egZFwD14U79J-4+6JrgeczJ zep8qjA!Jg=AKcQ6_WTS+aPkNb__wR9AveW=+~=SvcN0|$Vxk^;p^NSTw!1`?#dhz;IF==|h;lV2|zP{cjJf9_*i zEaEBX>(|EcuU`yq9r5##SG(j#Ur6#5wFtF{&A%Gz!DS;UGzn)zfpxRf!97NpD)^b> zjl^f;FVs3S@_iq39}esL>1&rHi|lj?k$g0j`T|fEyP**4j7kCOe-9N^6gPqxm2B@} z)k~lBP5&y}%{_=Uk)TdxUE!@?dyF@zKl!R8;czDpQK!bBWToK$hQ?`@*6c8m5$JB{7B+ zbYpJR{p^TK?mwVHxaC*1E8+XeC<9!)x1j0iTCew~f1a%~e{rLf9o1SsTTg;}?ic2H z7-S$jcFD>4aEBYv?8#}xRf$Pwa?kFor4N6~yZAurK}9Y>LsgC82mZRa`r|DP9OrWT z8H+8>DyJuirx?|2p+E$tt%8!8@cC%sVw^1yL`PlC^Mq?J?ki+(KXp@!RW(!xsz{W_ zwKnYXgXdzMq3BCdc=7N}AkC&=x5y`2VUBu;vA|+@#F)5@ z`8vA0D+N({EScf^><~)<0fgIsIU75u0RxsnrFdnS$D
QQXNcX7)n$6uu{fGoC0gd zGl~<3m&iEj53i!FO!*Q{nyqt1`13T_=I2O=F;(NrU@q3*f+7dG)V%^1BGK4fkqb7? zc?FQLgs5N#KMd(Cc>?vf0ve=7-Ru*AP|1@w@r63YJct3~X@L<|E1LH;YE&N>OM<#;l+WrV8M9P2W;S}s$?RqS+N5v3X3v2 z&C%5%i1b(8R;O*(ov@ln_pEg^MKrVrAqEy&gkCw$f=;Pcf+%mR{0!X}Z zuyE;QWXkRIIi`(P@t&j8&<-7ygH~W{(Zo`~4Bm|{)UK%zy?ix|x~w(Pbn5)^k~)=< zGvifC!nMJ^OyAkFy@RtX-_>kMC_+h{hwXKiB5sH)X->g%It|XRj7lW~fk}H7<>Dk~ z>(84BNSdZ30sU?RuoKo43&;``p!o_2cr3>Z2wF9$U|NA$v8;d+^@A;gL6mB9^%Z0? zSzCk}4)N1O$PH*y8=sJ%I>arB8)Vyr?%#x~aS+~-+|4~P#fd4b{kPw%FDv6`tD&E<1nxkLUvCyaBki>hJOzWLud$83j zC71Z4u>0m+u^dv7?Zdm!^?DNCS>^o>n=#xg9KR_cj6L&LN&WoxBD~ZJo-1PGyDxsM z=8pZv(BkZ#_xr`hv-A6&CGqC$&8DXysv9TNfV^#pKd~~lC=5Irlc?%}U-?IH7yu$P z*JW?6@x!TZUrb(^k5EbfwK)!+)Ny$-B-i=rh;$?dg8d;Kd2ueUe=kY-O@$h3TxvDy zHT0&)kW~C6shG5v-^wQb-<@)&*5?w|k8B7iB&G^hsfgcEh_gxy1N<+SZ>DHnP0jP` z#@3?ljwV;~skdZ_G$Urp`XkS3NaAi8!VxCk@Uf}d*B(BVP6%BsTK7fJ~wSk(z93^zir3BR0th)0*A=P2G< zvX{2Bo3NHUJ~SG$h7PlQ74zZ%uma7j`>XNP6JVD}0mJP#Wp#IAt~Gr4ip=}zs9UEq z3YJ9MddJ**_a~z)fW+{#2=>S;+zE}CNo#z0Zs_}WRRy^CEUzd3YS@d(Lbb7`le#VI zUdUjz$F|B@k&Zs9H@+H8kw+Jh+c&VckuYoV;=wEUV6j1*tRV7uNhlu146~=1a2Ciz z)FUYFX^E25l6yH-g*6^D)wXGa9bRh6*_F9Y#uYEF(9Y4M8B_y9IE%87td?umkj^5T zYMPZ8XHlykyMRL7F6W;_vx8Nvpb9pr(ivP%DW(%Ec7bXNQ9FbpYXtg3$_qd3V!=Ah zy_3-JgK?a=i;zWyg6AldUsfn?!VA+I62CQT> zYNaI5)6~e#PKpAg4hT_nYC{FkScaHuIr*oiKy*QdUXE`xwyFunEUPSZ(d`&4^A_Fz z!l5oYsypp@6p#Tt*r2D(L6r<#;<&EJtRgTQmc|=gs#wHZtGWC9XrZG^)h+siFG%h` zi}GJk@aFI^y}e&B3W?p6OnQSHGNN;x&L5R-Rhj-9@({+o_-TI>_hZ|0YII$ z;_!r0_fK5ega(6Hvz zk)qvhndeaZzxDrQkN+|8+D))&?zuK;OrGQ>{Iy*JmF=zYuU!+fp<1=UWo88^o%XryzEYIF^VeO~eRI1X5ju5(e?A0|_ zKa#91v!lZ_8pK}3l;g7QCUSF0AszUg8@edaMOJ@tw`+;wraMhDkps5b)cAt)44cZ@ zeARQ#2Uv~%U$2LE;$rKj|JN^kGz`Uf&yTeRGO0|kH~i4;jz7=#6a>pJr^Ne`f5Cekhkh{kN+|d#vemU;#YldnH8;_|`?ahV|7_xQO5~b1%}4h$?~ju| zeR9UNpS!|+v2%Q+YO59wTvpsBl?f?mU$|M6;HF0sPeK+~f6Vf02T7K$YsJ<`JvYui zX+5isl&r7ZyVqs6eSkL>GaifX4O>(T%)^ z6DK3A>QIlv$Ieh)$9>n*lUfANOp6MKRA0yR>n-)z!kfxP0b`$dT&X5wqip&i{ zvEH5BBOH*1;^q`BYrxXZWbEw_aNVU9U@Q~HFq#|_*Gbm^cdlN`cvA~pG zLX1i*79MVazj2AYo&?>h%UJYd!K0}y?3BC%kR9DlPUtPN*!YN(@xOo{RjSabm7quu zkkBqvl3};x5yUvxtz7OQIRcp43fvrFN*WL{7e#4~0$tE9!dAY5ODs}uV$FfIbtR-h z34%z4rv0|u3WBrTS?5l8nKUSB`f(fs*sOFKFC^~1IFRLm3Vag=4K7oyy2(h5+wy4J9Zq2W;a(jScMJZo=W8S>% z#$os1(s}pYq^@(6X4&usG z)b64424j9Cr=CK+^MN|i`KzG@{b3^D*L{Xf2=2zU> z^XG+Jr~3TC;*gf}i+f%^gRHB)(>4C!zPE?nfA|}D5HsC+wdR9->GZ*W15H2RhYd8G zEgU@K!rF&+t~DL{v@%WWo%-i2 z+ag!AQzGFmn8G+5d*ns8+*XX%-_VD28MstzM?@yY_|`GMd;Wbzjs}~< zPOLoh>5cr54G8N=xbftjwOV?6QQ-smUzuEdA8@<%oQRYO#$lTuAWe@A$h9XK9oH?V zZr_-0>{;i6m+ptTDxKl01q8uPNw(b_8L^btUQT-J2W9?ziAj6+t+4KV9lXv+9KJ^W z2t&{RYE@QGo>1CA)iO(r2#AR1+`sFdi!vlf`%~Q1&Q@Pkw*et^)76VeP4|MDW`et9 zTC5GODR1j>l2GHm8L*xw+Cql`i2`GSo=*BeCf-tPw6Fc>ig~dhpxU9BqDd+~jXvBE zg8$T37DJe#i%So;G8o2V1jJ^g;w4|kgO#wf(c+-`L*lz>V&bTKR2QP!SV`uG@q-GX zvVaGT^AbV#knr}ru)-o^^fqP*)dy}ReVkD|4FyluYXOYMNGZvD#*ca5A_VN$lrjit z5AQ03t8xIIS>-z18ACAWA+cs~A2CEfaNfCA_ErK$ z5YZX|zGJH}_&Y-=vkkk&i1hQPs0%nN*YnzBdTplcS5~Z=tv8ULmh(<;(keJt+%X%} zv7*GR@gDFC?!qPdTNa7;hEUuSvF3l)@k`}%$LL(Vcn9YGbO?b)DD$)VybwT?ki8+5 zoD-wVt&h3KTzz+)95D@FT@KP4@)gGl@LbA=y`?H!A6sm@ukaN=xV{k83*07)D*nlN z6V^L#V6n*k_A94&+>3E7zAj%yd{#i~0XG#_v) zQ1yopp-B$B#n*oHKP+ikFzQCDA6vXMtzCD?K41TDE)|Iz;SL#uq1#(``Qg(`&i&c@ zop!eSTOQu;7luNdUP<_5qtwU>YgCRWt zYy+r5P#b#hE|qu18;np_1QDZ z)Y!s1}SSbq898$lapd zbtmbRYLDI!&=RGzpk2OI#c`;_uxy7kb!ou-ZLBf%5)iMJ_TKb?l)JZ-&%--=7n)rxGXRAUq9My$u13mg{8;1Ie=>r9|X7i<=LD>pcu((|~dT{$$nwd

^74+lP3e?+*oy8v6m7@^jSRjtcX1+P9;7bN7 zT0$HS6vVVtwyxyw0@GS75K+A?dmK&a%`dW?3ruwp2RfOzxZJ>H#dNn2xB($RhtPHh zZoIx=%2UEigZsD1w&cD)6|zS@t+LcIn_w071rlNe&1nHou;1{}_pj+=X|-l%c{b(P z5((r5h3DL5@s7emUvwcHOuj!Ag=LI(o{RhGz=r(`VtYtC=+I>0sS~4|f6`Rf@uTi_ z#wUJfE|#4>d90!fRbVH-AlPJ4>F&{O=Q*-x+3oo|F{nY?dafwzuS_yNo@D#GscNM8 z-J!a>>jGDMF&h}a<@E~*4T1nr|jf^MCt)fZ=UPT zsz@D^e08EHH*prtMMNw6zq6+z&mg;)#ix z$B&pXT9IwxobFF!I*|%w-cW@@m((J;&JjUP}+wvrK-hVv4l89k$QfjZI<^!w~Tn z+LV233l+43x`Ey=c4eCqV(krgP0wbg(?L0jE^JFY0~S_xgT{&?Q~~*Rs9NzZPA8ShjV z4n(U$1_f@6leLgM)vT4iz>XLFx?f*_T>97qrn}5e2txfIacmAFedRmX)oe8k z^*1)r_m6CAQMo^Q&yY)oF5o#C4kwU(q^N%g;wZ6{5V_29d~D=k7N7YqZsp&u9k`*O zRMJj>ranuW&C_-6wG_F-NAFD1V}CxB%npU9_ZWG+pLQC%Qj1r801Ddx;zb89z2jS) zQ-n1m!|3$ETM0fHhV>Ocr+{dM9a8IV0e^F)R0l7tNGj-Fqq6?sNs0gd;(5)ax2imy z#4{t!05+aT_8YkpsqAlw*)_*`>-X*b)6J2Co0yZdJ*0bN+K|>hy4PE=uWu#uOPB3k zaAP<7_5vxXs##1M+w<6jg;#8Ueb-7`mAqU@k_!dZ%`9y;#-or74dXlp)^z;QChhi6 zS)oouy->WjLb%G5Y-sJHYHlTvne*WanPSPuIxhe-cQX14+spUy2TSv+i(5bFjWBui zb#7n#E3O&8Q`~W$V$Tg~@%AvgIm?+U8q-h(Rjk&i1_!@0DV^mrrezJXIjRg>X)8oD zJ+rku`D8J^sKfP#s6HdIzsnUA0CLR+ktQ@lmfEBm8wY|yj15*WDQ1>ZbJ$T5H0Ta- z&KK(>199FUBJxD_ICuSUUMDZn}g!MKL=u7U5CtfL>kCPaAm zS_Z{BY%r^-i6Ed&aaU?zC<&L1(Bqy~NN>9XSJXb+b{T1>9OEN(ohu|h-!2P3y#rbT zgs$Wu)kQ8Ur6sAN9#qfG%}+tPM25p)`vZjhYpn7`H)Qw_gpgIs=cG4;@^S`*kZvIy zlcZJ-DLz48UU7Kah_3L<1-0;qR)c56MM<+A-#lpZP9Ba4AO$K;EJ*%WG7FC)4)#=PVdWB>+$h-WY zuvAtdv9<0W(dwU@NWXNq+N&?5?u8*!$6h|V(`d+YX>do}_jxq?@UCIrvbcG7hDAaG zl?s^sAcg|Y>~&1(lZ-2m-9M6b6iC8O(0=CV@Urv}zH)khRJBIgzLhuU zUg4&OUa5t97nsV;kc~Sr>Bei`+2;|i4lga-tp}2)K7mmfz@+EhnJ!_^erDwglG$Yc z@CmT|TRVu<`f*iTN#?w;BB|ha{%z4aq7b5(*68<>2NR9!K2Uff(?*(6^N{r(o;!G@*ZulNS5xASKvuJtpM*l2w`-?bNxBU8ePefeZDTX*$2Zm$8UR$u z2SnFh$uTUdV3;qTNN%i?`p-Tv6tqV5*!nxt-QA=;R3@&1P5Y>XP?;{gGV$`b08ATc zY0e^rxZpaTTq3k#_z)B;Wh3|Ij1ISigSUm6Y+wc zT~v%)aNdZbxf@PUP&QQb#oB@yEk`wB4GwhSOjE2!@B60dTpv^i6X24#xhpHaGjy7+ zB8hZOQ%ImHorYGWIP;-}cTSLmZOGSXOAmg&O0%~b-ICN?%PNxaWvQFWpjNf^nOs$- z9=?bp7ejF^iZ3rWL$qlyi>7gI_F%t6Lt=lB8muo?0>p@b^Yrsu_oA7o@|2`Yw1KO; zIz$Hm>39pog138I++ta}FNspcb5})6>=Swz0k?3bMD+H=Ln6<)cGfOPuPF?M>UiV(VAq@`}X0Otd*l(x~kjqR|n&UY_UU$zzFvIb-b9F zM(TCGXrjj|8N&lg&*sZ>eFA6lTOq5ujxRV_Q)hp%xTo>XpZxto6H=2b7D3RzBzfk= z#0*fw+;H8&8Af&2!0F%#)5c13DKG`jgVHE!1y92xWSvn|3&$fzHOZYQWMboRN1umErK_j4AD+|+_d5dWQG0#})K!#p8p%`fj;oa^BE5JF83-g7$^M{K`=*y2zt0Z~f+{73l&i&*I15 zWVfD5D;i`xzry9MkIbDm%z#c1!znJC(rfW>I^ot?9kya;hs51T24q)MSj0h2@wKi( z`fU?=?n5!~*3YHS@zXHEyj*A`w)dxVszDaTQECUGxj59P19^52Fa4lc@g3YC0ronC z^@^}TU}2K8#|)BIzM^s-ahMvdoHL{KMEb2apux)x>*y4~+na)#i5uLPEmoL7g^eNHFZZ*N6|o@eJtXpr0& z-UUSix*!fZz$+I+tqjjnlQurZ>aC_rq7@=c4_Kq}!FVB%hgTHwDxx5l_`_W@DIBG* zs9H$36i|qpk=+xa*rL3k2waUsVSpa0Qa12WkqNGrNR^egE=ce*F3;t3ZXfaQo5&t^D|8+9!!7Ft4u?;%im+dNAx4@C3>c#w)T$O3Yrh(+#|?)BZ|u-n5Z zz%hs{!S)AkmyoqJUufVyRTMjxa86>a$v$arCzTiyNJcvi(u1v9RaMA&^%ArZfof5O zrb-w}V$RmZEU^g^`Lv7o0B?HhMX{;!aYZgG)+-=x9fb%XqWL1Q$%TmjV8mJmvxC&T zDO9X#V@@9MJwGUk#GPN(QsT}a`AW!HN-zj=+r@BCh#!kxvm%5Z6xtm^Wu*?@5T2T= zNUBl*sk2qMzc0P*2shOd=W|Vhrt!1r_|cO&As6I~GE+8+X5kE@L7-HQNJpYv5XlUU zLnPR9oG@8iNiPSyeYV-*pkl3Wbui};@V5N4HG|)KUoAd69PB-4>rU%Bp(K)RGV8v1 zg&_?$*ZRmiXWjUx=981}bG{@S$jjZ@J&QCj7Q(7)&1#2B>)LqI@~%$S;y_FwqW5gP z5>xv|VVwK#=9>LciW{yjEdYBrP$UoL-lvunDZMjF=TVVQznKr9|8r9OlJTM2nm!a% zUbXUE?cu$7QI;_E6HLed{Cqb#cKhn~eGsBepo#>p=G!mfqY?sf|8OlIJDdi8<6N;? z`OE)3v=>Pk1ZqK4RKZG*s)gkG@(GS+6!$h8p@pDB`KAaND(wT|2+~Mr70?Y)N!H<} z1i_{scL>5rWmo^ZnqFHM&rM#~@~*0MspX4?C72-VSHza)LVHCd@_yhU$i#Ef~LeXH%wo--N^h=6tZN3K2AL=vb1Y4O{=F~+i&{oq_ppEVD?{q-Yof52* z+G@kS^!xiH=pYbEqR@m*VAKF&MzJ9vP&jM|w-WGu5gyQ0{aZ*8reR1pEte6P$t~91 z{27(cz^%%0IgU)o%)3zZ z3RO)yls^!NFpAQoR$#H};~En+%j;yzh_9cFXyXqII|`9uaO;qSfuXIRlt`&-ZR71) zpUiQSvRx8uquj5gP(3%97agz>zsbJj5|7JuMdF5k2Alj{eIAI%ZnAP{Khvb~;3!v5QSY$^JaRmSt} zm*gSo53wTIq-4JI$=XVxh%93vDtYeB^nu$qsk6%2!$;8!%iR{0I2QKa*w00Osx_4i ziRqY2(oir;=(gH5V@UY8=kfY~MdJt)jwJ{Qm2(NP03V5`%apsNV+=t5qdqa$d~| zwAr~qmQU$)zB*d$=Mdw1BnN{1(cle7?0g**5b_mT_P#7{w=?ewVJpZYJQk~KV zCtflPk>T8GL)Og@i7pk&8F4EK#U(Dp#e%42GwbFIy<@6i1!`Pl6dCSgg(Wb~%eZ1O z3#65e<0}GA31l1djEojmv=#~lbP~4>h-L;SQl#-C9&HO+3w=MJm;}3QP+NLdIk;@G zprJqsF`Bui@`j+GH5NQYFLBgXMKZYm)@@05!LWQNr=JFi9Jng*yk>CHEEOGXjjDfX z@Z?XM2?v8vkm((bz2{l)g$_9t(rt)NI(=!7;V4^BuZ}_$C8ClO2{I>lt z8k@Qw0}eIgUHsuHPm7|(@Qhc*!MaGuw}_|9{c zP%MH}vBCVJvCeEeaOVHW9ho}i#VFV>Gb^+SzNI%BK<(-O*~QjT^hAe#7Z#N_^uW%s zOFSB4Me@-FciHj1ZW>OSEn?DsZQ2-^jR-3RTPD+gizn~0J6Ug?dhX+qRJ8^smfUlI z=l;JTDWk})vZDSE|88K$PEt15pp0MiM&b#JJ-$D+G&-g>b1Ng)LjKGYufFy&{eGyi zNnQxfhCm6L=kQ%~*FPzj#y+#R<`2Aj*1mZ#i)!|R$?l`Y&Ta^ML0a(Qk&54GhcN1r zr1jIBVIs_9NRG70-ka0YP2GbGU%n!BWu-`mRFw)`4sM&2-~6RZP2Ckm&?IrMEUM4) zF}N|AQ9dyND(i9HMmxg+qXr)Rt2vXWMXOa|=(7yeW+R84-0-{56+_0%)NW|m}n zNf@{~`iP2jDy7ikl^jXy?6o?=t z4*DyIMHZKqzPdytJY-WV*eshByD(YrkW{3D`msw3RnY6LnLu)jv)5In61Ki6qziIJ z=o}Hz;PshRA$mfvI&hE$R9fEh_$+mM4JYYCL_%4abe_aA40=aM3tmd^P{Y^8icEbf zaKX!}BSTIQ%snS(yQLEBj&Y2n+zdAjMFN`1TL8yfN)@}=v12!7Z0mE4sf=p|+1 zn!XDs{=FIk5oC5Y7S3k3i3<^Id7;z-Ed>gQyA7YN&n((3Ud0Yv$;|G%IV>uh2851 zXM4>61s{}J0VlWZN$w+-F0a-yf7>Ojocwv_)tB6J7qf?M5b#|cFKo{6yFRqQbuyUx_8)`J8UE(>jPO3 zK~;s%Dx&t#S%Z3-@Ui`gg6D0nt=}h4^dXsAI&u}H3aHo($%qu4!4q=sKYfkw#I{n@ ze*M4f)9RM-er3u-IrOTI(*qEi30$QYSv|`esUm3vyGny9F8iN2HZ5Ni^)3@ zcj(;=Rl=lPRi$=NnaJo4wI98%2z9$zdcl6@*Wcfb+Y%06os$;TAWLrgnxe927wpMdG;zG6 zkhU#3??69aqJx|>oLz!gEFZ$^j2bbTif(}!3TA!TI;&^=kLf&pECltkzChki&&xUH zKUO&;{9+ZEb3y!ULA}Cl@B1!vcUb5o$YmHGK}ye?#2ScHxI%Rb4GK{`_biA`OKF{? znQj6Vc*y3bae@o2U>*_YxsJd++Q(lm zzni$8x&pYD3z@cu_yk@L!jY=;y|H+1u=Xq&577lC*H|kqtw;P8pCyGrU;vn0Z9%n3 ztt-SA8oVd-q(r#aylY!ci4O#6It^V==Ci=s6wRwDh@NiL<}A`d5icYr|B64l;;rkf z-ahp;ce^YlhLv3@2?W2zCp@f3A=R!lx_Z$MeQWOkV=(M@KX-AFLvpY1O9eCo3cBt0 z!f!A;{du~6L3Ht&g?abH)N)_BJ^dU$apaNnoA}y1W45<OH6Kc^)_3DAmb3OX)kqh9S5*CBbnIAg^UxioitYS`T#tQu`iaAIOnpSpr&NbN z_i@*J_Paw~683{8ynM3ff+Qb*!PTBTdRhLptPbi7Lpmy&Xv2VkDIU7b{r}9pf0SLt zb?3X!x#xDDZZ*=8Xo&`csBRi)urL=#Bnrm3x@nU(Ch-N!j{J+c@Q9yq$b0e(VaUTc z)lCbxu^l%W;^2A7L$!>`6;Qh5meK8|z3rX5$jHD9?Iik|zS7V(j1F97r>L<+OCD-{l{L)bamXMXw4d z)bQ)={>r*+hZG~5A^B`PR>1O4-t-r~=!4JgKTh(_9C}rDWG>j-uCPMGi^ijKmUHM+ zjW>vXLFwXHQ%4m6R}tiusric<+=QW|R!pW$9m60ZdnrUKhi&Vp(&zMnDv~P=XuiG1 z9>D=7()YY79-cyhq2Lqz&Q7+RxQz=t+-&;quAWB;BbQyEs(2o3{}WS!2ov`0_Ucws zKeY~2-KMD!@>R0 z`?r>P4|P(7uZdEKfB~cNDg-j~k=+UK6`xfgw}FS~OZAXU?WfCA$7*vi!9a37?gE+e zdeF+>%R&c)J6ZOC)LI?*Ab;4#XG9b;2QNp;giH)JoYl4^+#0vOPyuA33 zdRl@t3(OPz7DxxtB)*wa%&M?bQP8zLMo zd4l7_-=m}+#>EiD(fc6*ZvYLs-gulWpmqs)eJGy~cT}>W$JeAA{K1F~+-pl$Wga&` z>I;iMEAL$X&`%oPX4s$-)}Tx`K>1>M^JEa6M9R~&gM5b4`0WK}S2>rwX+ZP)MLs-Wt$c1b_*pV>IRqkl9U__*a7?B4b$zct*2oowk_ ziytw&npbe2{BbsQVCqs1WwRji(|2)R>|Y+uF0Va| zyjFj(k3EuA8q?JWOTsSqJC>92&acHyTnlldvUxNr7XG^5uHE#Tx!eEaWFY+cI)||C zP^-Mf=JY(>B3g_xc;z9x_8HmmwjZ2s*M4N}RxT>4&}oIz3qwhjVih>H$t;v4 zqBsiN*!ecpI<1JdNq!_&E70QH9oJa?;B@dms*KrACN=hh^V31rl@?N7aE~0ir?mY_ zisN|Fo#(Qu%{)eZUDQ_Z$7xDz*k4;u?OCQfZj&G8O0(?*2?V4;Wx6*BS{cb;4&zi$cMlM>R=}Aa!CCN4Dd-sS zIZ|Skkl$qHRUiZ$8*q~#u3aB%Xu812y^(;SK@;YgCj*E$3@je8W!-x)YLvN1@zLJi}jNn&0Tq@1M1b+o}L zdX--YfM8S@_-G9Ns0)Q6PafK47LO@d`q;^>u$ydW$_tY+d?H2b-ViaX@tiW#m}zGuD|s+);sE9SD2=&UYLSx0I?IpSnAouzA@_y ze(}BcCrL=)JK?kRt3_qHmpWDab+eNAHCOnVckHYBryl6HLDs19{RdCaD*A=hCl~vi zl={67&N@aV?v3fW%m_g=g_Q&+k5qrDL4=RiIbZI}C~A+MH@`;_R3O{+p|oKMlLS-bkWbY~C6-_nTh!ArJV+CafZ|Hi_N7;ocXJluFwWqk4@moF%j z`+t}uvrnHjKh22hDG#DkK`bb6Y?GDtQT)pxx91pzgP%~`u-kl8?kAe>Ntf)|A|GLm zit|Pje*+)mTJq~zF!!IFH0lludrfe} zqCA&59I#z?)2RMx;)HS6SkbyXXhg;B6#!?>udun*4*Su-lRFkd;{rlSlY$+kr>ElW6B6GmxPg zn1#n>p3u=6^hp3>;!}rq8Tz{^DG)l0lszbd$K8?!)AucUHN!8^;u)hAE<`V5b_3Se z^2J%G&f)UX9bO?9Lm(OB<~w{kZj3tq%{6an^NBRD+7wOJa-b&(;tG7=COvhXG{{m` zG9AKUdA3y3YoSe|CKQfT6dB`$kx>KVF9JKsXmm{N4rE;cqmRVtyeON}`F;zdZA&@7 z6{gRC45fGxb%)GlmMxGdu8O!$f@qLXhE$?a{Zq11$*X;@`ODp0^Ls81r1B7L6Qdl4 z@R)_)2(6e`OD+h>m8nmQkHyTZ>UD+Fo97Jg==5rZ{|OKKUX7>^gcF3l_`s^8lUtx5X-hA8obhNUz+`N7ZvDxapVyyq#*VYt`x3Xbv$4~A0|B9ma z_Sd=ek{6Pow|?OOROD1^F3D|#<3ZG&+;;hev5t5rkL+=z$!cQq?9J!Lg^aW&TS5{j z9>mVHLjQ)e|KfbF11Ciqt*Pps$H`IFSW3o8+7*h&et5kPJ%JDrJ>AkyK3`6)Ou)-Uw)o=IKj4wEBMjM=xQv8) zE@V`4fg{>QU$>jSv?+zODOH?v^rZo@i{t8=d>K=jF5Ry8(`V1>G#@b2D|h1|$Q%~q zosY3HDDW9Agavtfhodw-I$0p7_Bj|5Q|=B)xia8$)UQdBTVathY}p<_g;5o;W&DW0`r%>Y;04(CIH+K&{RqkI&kL zjax2_DOs-&^hheDQwnMYtB1jY0z-*!W0|4lYSxJmg-YB7h}jVCw~4jQNA&a)*1k9r;UEVX&1En&!1Gv=fJ`YlJSy+Sbfj5iz`%u zA|j?k8ZqO-bpZHr+t1Z?e7LnySi3QxPe4lkYKxWY@C24yN@BI!%S zD!1SdXNthf3=$LmPrCV6TCOU@{|xX>QYBrNs=w-}Gs*^-4C}A}y>F_qn+IJI7YED}|;h!SV_wAUU=()_im0S;}i>o4I_Ff)eTykE>8T-t1N z+x|Io4N~d{K}SMjF1DWV3CT5OZfiegg;b1{ThC=GU{#F3C9xy|MACtmQl#U=O#Fw< zVasWESDh!&fJ9?aF|~^Ji@@gQ{Tp0k%{h!X*&3alxxLzaYX8M{)`j0PCFuq}+K399ZDzJ z4~)Wd(CU7gD)Gcype}4f^#%Gt|07#sgOw^u!}g70FbbwQ66C%TAa%>rP8(u3#ud1t z9K4@E1xJ|fmg%(SQkY3q-nAx#?hcvimDFcjNShkwc`#cf=u@3pDPJOzt`PTpvf>p) z2_Gd|<5m9&Xp_D#2~VV zQ1vjPg1ik;Us(!CbvKTOfZs}4#LRlkKi8pM%}q!Z>!&V01mU(rt zg0ed){U?8)pmHP`=^Y#$(s3hvjC-9c-vY|x(HKEF4S`@`<=S0-dP3Z+r zw@?jggR?D!J(xb12;Bv0jCviaO`~~yFS&jS-IQq2W1$7K^aLDNgc(N9uLz(V)s;?= z!cH_ioe|q8xosF@m%nG5i34vCtZm7iCpO^e1*%I&QUx_7G8r{v#--#5X`{+zTk4U- z$Mny~#RIW;hJHbxme>N+8q|&7#k`PIxc@x{?TX@Z~F(UkNMYOwtZPJ zwx;!Bs$jd$pr5+~)dFfZ&&kf@7u+c7HM1C7qnt}K)=H##i2Qg7UyI8!V0wfgIwf}U zASIS;Ykyzb$1th3V`*%*MMl<^`nv0uE8$EDANgsv{1-d>L&ld=dHSx+h5OInF&EZX zor<+9EdRwi20V~C=`XP(yZrLB!cV^4vK=qD>4k%*^(A|2$(y<|Lq9)s@f@4Mli{rL zTi&+(AOxSRnXfXUav`CqXTZR>NGiq|%_VIA-t}ze!y|1ymnl=xk_xmnD-9xq;FuIV z_v|QrF^>(2gT(Ln>7@9~KXhrfPuzc1Y5UH$$z6$4O60n%gYAzS2jaAVo>xB*5Ls%AZcd(VFx@6tS$#kS=Y-^z+(&CW(+iF%HH%SQ95$&@Jfp z3PIcM$i!Mff+J9E)Xq&o%ILgl+N#is;V!oc;#J6pOP5Z)l+tGx%x4I_ zSS&aWEXeH!zQV}YVfXDYb)weGwFOof^UJk@1hx&yk~~tqv|Jl~^3MBNKx|cPkKKsi z<+7a?dwVK0?0xHker@LV4tsCkt%Trzqh7ZMlQN5)sMTDl>XR!uB9u~owZ8EZ!j|9a zs`dYO;Z6e0bo(PtR_zM;(%Na)yu&WS__9l3{<`}@^1d@L%DdNu$eI;Vd!k6A)wp?G zVjK}&C0G!N7&&>Ah&pQro@C=B8*S%`7ro8?c7HrQLR5_(5>eSay{*=2Qhf@S-=-PP z)DMb%-~5g>TaW&Nl6L_Zwdg<}?&A^G={}{{4_NCu)M#9Dg*A&8;@&+Q=iHqQUAUF1 zuf4+DWlID05r!I0CHv-Y_M_?VITy}9ZEXHDo0XLC?ArJ-?1oV>?c~-gpmNjmAGnS- zh!BF8Qp5%#`_%6x`f4!qP!wyf8uc*I<1)`RdEMQa{n|rwW^ZL!6lPyz`hBJG?>u&O zK6vlt*^IcZ3d0t5ta;m<+9ns!ZEF6JeCKPGWOiMc*CuX;xL!N4rMtwg4o#wK538r+ zBeuR*TiDf19!glFddDLlWNNB4s>_H1vUmNy6VGtK^G=o$GbgkpsDwS&%M2piF+nyV z>F>lOA(G7AkqFs={qqaEz3qB~^P}HB{g$EnU6ZQsR`r|1^z5sxx24^1*I^~y)E!b< zpoOUy;1#G40G&jSkp5tIq;&opAC7BOby*}^s3f(?AE{rAFlgVFs=%SmF1n|BgR$Op zl<#?QvN*LQJ0JruhH6=$k`rOsWr(iJvqX_-R+_F6z6)U6aWyJ7&&6QG)0H#NOl?&A zpFI6l(omjM7rIwch!|1DGH$|d(z8Vw32Q>a#NivnPVr00I?o;GOH?WpXVU$#jtRQl z_yyjOB`9Cgd1Z*>8>ErIsiDd19=qftj86N=)tPzhyo%WX!Ro*oy~nm1464!xErZM` z1CEQyZl?XV5#lqUm{q~Wl#$HCliw*&fzmUV9AQX6i1KV{T#6%xg*zT}bU0poi7!x^ z>`w)P{`$l?7PSP`11T~wY9WlG7XRERyqj{HnUG370o5>ZPzKMoCPyT*Fd%J6DA(a9PhWwSlLE z3FLR;DU1&1nlpYeFUIWWWwO`q6v^x^*NaJ*#ad;ha+odcvypMI5-{bIiBT@kb$HOF zz%d~zF&_r4p>bIZxrB)@twGiVGYZ%8eGGXLB5+ZWj{tv!|HQo4Pd#Z*tW7^nsw{jz zakzq{a;L_=2wwUxW7B-Nibi)*PG3ADYuPYI*}Pzj%I2!z75n2N+JD}zBxjGm*=a9n z>c25C#Wae8McKs`OX_xB|A>55NFiV9x1@R3O%wL`$6aN4^URriThg$xKGvune{;PG z_Y$%Vmg%SJIi_({o-dCKLP;4XkF=8|T90wU%||x6NtJOcY#MFr>*DD$6>}dRp$UKP zFU}aqrOIbeK$*ZiQ5^m;aKOpJ~L5rRVT~P7drW@nyx8|dJH`>RL z;4Yf6v~i#^a37kb?^qi5UAaM!y!H>)`@fv3y2=?BXKa21Dht{CZU1a@0ZZ5S?>KKH zHMj9yn2xN>{ONZYh^VBTC|5U`m>m;jPks?^{cv<|KR2OPkE#KPj|*cSXA3{KSbgr7 zY?6=DTW0U~*7yB>XG>>{kK1cpEv8%Twk!5a@BxzMW)%rG<6)QUI4-4CEL~-)N-qDa ziW7EK2vqXyxlxz0MiuNweIbqjiU&Ax=Duob*kK`mVpFUW$<0xSZ3sK2x+}V>)Ro9) zXxFtxIA#xl2cd3`PM%Co;GZU9tcs^m@H=${8AGcyE%&s0h2PoKO@4h?>E zPW3h}20X`3&oLd`LWiMhOc-S)W0$|#Ki8iY zAIUDdhBr*7zc|o(N*GU)G(9_=fqHiCFKOa?0N)~W_o;u|^~2ew#OheN{2d_vmy~Xt zn&6)%P(`zV+YNkr&2Ogm2fNR=hyGw){@&9_BjaJFHl|bv#jN&&^)@#!evz9d_E^Ypk%~HNd3aP&ta6?P2v}~uHTU^sJ|jd&6_oj?*XC>-n8bT#9fQ00NOZ^e)o<^sTh84_vUX7Q* z^{GQp1xqnZeB#uO%mtS9$6aL2X&KZh6;L1}(-Eftt%I_ghYqHTD-O=mB$O?YE6F)f zj^CS&*3PUvOsET=GMZ%GSKZNy2CAPmvL|( z2Ivi*N=@^ku($yIuXWN$)mmUSh9|bPWM^RmR;mv3cA`UdGOkmig7+8#^*kZ^P~LMP z286dcA)Wl?X;@`!7^5OyM2xb!I-sM7c*gMs(6W(^YV3H4mCfomjPNTg8I59;?Pd#elUNz)CI zNWd9Ro6LvT#V5`QHRYck^SPbw`UpIn-KUSyN&_hN_<&~7rtl5<%&SRs+hHXJv!ded z&=+A$mA#K{V%KSN7q-aat7rWS&E$8_bqcQzG_bp&Sor$3QQO=6(6p+d1|Qb=T4nKT zYtPQdRRGVt??cU$2T97U4ziS}#<&*$V4c;^+~p^{(x6usK6@!&`n)~Xu%%o3efo*V zEx8Oz5u6CXEIv#@iK59~pr-KpO?zC6ulakd-X>9A7XR>4KTgE-`w65UIoGn&WnD#G z$JMWD-4nEV)?1;E;W$Q$XD?RYQhw;^;gPN_M%#6^2aX#!06hH4hnm*+_=e(qC1~sA zuj8xvV*lPGS_b`8$bPN|mfcBO70N=d-y&%8XBOg}TX9*gf(|NmXSYr{MKtxe2-^8C z1FPNlbs}#$bYl{fOrO3F`=7|>B{+LH_9UI~s&3$vZM5RpL-wINNW%)Fj5Ta$Pkcfe z3mk+#`cCl{nY1X9xcp3Mmm=GOar&+uWG^;vgS~2?t=wXEh3N(6Q8$8XyV{-U7`dy< zEyrtc&VoWcF?J>0DDR(VGL67xm{RE>nvOwh8X{lI6&3cxo-)8G^$t^IiFZvx90zH3 z4;RfijXfCT4M>Y=^V6N`WP8ccjMutaFF-~-+YFVzYf4iv#tMU&3+5$A^h%(EJf3?V z5gu-VMAFapaz%JYz&c*TL|{Esi1VerggHs@N=GI_6pKF{ZTsdG12nlHm_w1mo+3b9 zh~UQMROz2Pm=b^7yK{57xo6Ou3feAehEIi6!+Z^_#MshtlOH33!t?1CJ|qd0X-fnl zS{n1Q`$V8Mszn(xoorXQ5`PkRX(+(<*5LhU^aKK~tjUx{8`hiCa_E|%um%kgq}hSC zznhc7a|=XXi5RFw`k-2dxL8^|Kf=mnJ%WqL!%-;$LxR9V8O4YX-@|5@@+czVPZ+$W ztyUj!*%!}X{=&eikDKJ6c5ZjB5B6YXLu%W3{dZp_Mg$9k9_cooK5W^FN+6C0E_}cG zlx@G#)-uta6xB^!+wG~85BfLFh!;vt2}Vg%rXK@4W>^{P^oa{?km=-z8YP7XEOZ?HIZ>R@&CHA94?TVyj)x zw|-rDzLY>kr_2Q&0f)S_Mqv2Q*2aDBcI$zP)gRuPw(}oCb=_F=!5gMpdQobhIw$v< zv)RPXLc?BXpZ@)P5+>as-1Mn)(}_R($En3nLi)H;I4*XRkE!Y^n^dZEIbIk~PteW+ zt7KPYIaw&;Tr!$R2W!?igY#zCUhrS|K;WmNv4NN3Ck>d*!`)MfCl|G$saU#k(VACe zGwPQLMENhVx$V1TE%2p7^V`XLLlo9bW1E&SQg%H_cQ@M`ZTN7(mZ|DrV&f!0DNw<$ zET4M$dxBU*{@HX&o;j|F&^bglvS)MRz=UnHjf741WHc5D&MAX;X5PcGhR5z#>BJI> z-QCC}jh80qbSyy7XZKThSU#B+q8HG&aJ;;S)UA*+>V;PczOT)_6uUxmY>xx2O5(M$ zhR4YkCFtnq_nHswAQ^LsCxG}<1CKau2FlnJR9a`iW+KZ6xU;KQ)Agc>{!qFy2BB$G zjU@HMI>uDTzR{3qzj$0q=-5V-MLkYN{mc)d}2S(lM={tK28(EI!d`}21OSj zDWi+LbtZK1ibIV(bMVseO3Qi-hy46SxJMe2;IRQkqgf{DmaTDA67h-y8AK+D^O4Yl z?Bw{^9J^!1BV-IXW*7?KuP2VXAjCVj%ES zjl95z(a8n5NIV3$ac#d~lMSlhdP=E;wfja~_~t*lY9?zy@C zJfqh0fqq8ZiGdQx+=0uX7_UHCn}zGd&^j_RW4S$#(vGmwPC$dGkB% z=T+;I{N`L3f7WO2X46S`@cP-`iMM{Ink-zc#*KfcRPb7_C#kN$U@@u!sXGO_KtA$g z=Vu`r-gI%c!mF&0o302=epFb@?V4d<+wq9E*6h9EgiUp%4rSs>h`6vfnUu#PVqe5? ztG~{stIfUzQF3Us-gaQeKzIUAHali>y=ai}tsh4t4A?dFrvlFvrnuFR78D4K&RRV^ zdQfNfrZtPD;2-5a10zuPKrMKFj+a-j(F=_#JUPbobn9FGZ&9SEP5~OTDP7tOQk--b zEOG@D2nv|Fc&GIqizT(vmW=n*o|lPP>0+#)PT(*gHrgiUIqsx79M{#CO_wA&Tvk+U zr@~%{5|9b%ZpOTgB6$VCp|VTj@E{$J%-c+J=6PJPBNwV!%4aSV2~w-gM^Cq#hd`5Y zKBMx@BL&2S(Ng$gHEBdtmm9}ogWMnxA}8`7-?H$YZ{AOupuuS}KT zNST&+C9tepkSO6+2Q10~V~NsvYX*q*zMG08y{@x{MDQ-0JGa0XG1XEeaVG>XGgQ#p zrXKMo#;|Ijap&?N1jAkt^d(gS69MT`!*P|E9`Z#On$WB{T0qhchG;0%$1@DJsWMC8 zHvwyp+Z`Hf-~}0Ti1<1+@>uTrsx$^>T9}604OaYbf1fP1n%YD9%ab1x-=F_E6hN zPpz~Fd}^K74|oX}J3w2Ci4Yaxy9lz`1Hdy0(CzNy?Yig@uW@Bc7ZpW+fp_FCsy>S| z`%%!g>ruFu1p?_x!WCO2VIYp(Rt$Y6d3rhfm$B*~g64SAwaD}!df``+cg9$_5>3^6 zB_Qmb|;1+cltOWiPoB1IL*1~v%M0{mqm22{B%p0ZjktI&_L|$C*gXlYH=Hb1f?P?H)`;Z zO_g@jBsQgyAOj}|sxe+^#0W0dTIyf?1P?`hTXWrln6Qp?){@>8tY0kzC`*u;uO( z*urz$%sr{~UzOBqPm#3s3qD0JbOnkR^Tigf=i<2z4Ly+}{qS;^CKd>HhY8tsv){izceoMGC#yc$xGpl)x^b&-tgq+X1gWyY4yKle{`g&Zy=2SX zVZ#-x?Bqv*TYr)^+Yjgp(Mfqy8=55HH06o*K;67l7SdDRAj5|p0Ycs}MNpj#zF5OT z)(r$|(z-{OC24VF)8@vMG8PVb%zUrBLMgBo%PAIViD=(7Kg-H`RXED1Q5eptg4C3P zfuoO~n!^l^zyU6SA{tyVFCP18` zn>SMZV%uMe+({^@SQF36Voqym6fZ-GEVj93wY7gkGL#DXFdpvMi8VT%S_p34uQb^-3{@ zSzf8zw<-hK^8ZFP@?XJRjs%`hZ{H|S3`I}o_9tWZSL?ERxK3a8cZX#8Mn-|#zv4%B z{*Ld648`s1G(w1-pC!%L#}U=>it{Bxp0X)0LD#?KrZO<`0+!-E24?8lfw-F2j*XGM z+{Dt0u&u9eQOs#y@Ei6YoU}ds2+nCEJM9HOo0@})p;q|WX2q+9_nl_RR4apiqBUBC z-S=^j!#_jQ1591AofBvOo?5tOv#YToEbe!o?R(rNYw%MZ(=E3b6CPeS^}x>>->b}C zKo)kW`m~e+z0`cOq|}^`7&b*u58a(dersso<4ULqdV|!74#i?Ac-&FmomjEVo2s1} zI9+9PkNuE%{vEOG$!vz3s{Cf_m+k&B5V0KzQ*UHz>+O?y2cf_@3Kmdz&S_l-0&MpV9Vn@6+{(9zeC1v@ibT3z(d&$=TX zjBCj!zy3b5e1$UC9b^;N`gNC952|`<%@Pjcei)wkW0Tb<4r5mHT?XyJ^JZ+shHX6V`Y_E&`lfCmVSFXJ8_xtii!2mwit_?TeVEIdAnxU|cE%jWDu(M8+_ds(_fL{W5@&j|+wsERSa4iBMM&VfvA`Qc&>;M|w;xScQub3#)J!S#k4g8M% zJnl#e5u7k+ST@h6OLbB@GLkk?-V@NJ5jE~iIm*neXn}0!ljZ=Gh7w4+gVfYWRhfu0 zB_&zWJ$kCPVNBStf>!7_;K8@s=m~nCBLOC^!CZQZf+bw__yK@!JA5K2*k=2W~w<5EXp-KHO2mf$+NN3Pf_P0g!6C?TV^Ct#6mmn)SR$)pjEs5oWeEl%KQ*Bx*P0LIQp-s&B7jG*glgr zkmqdqo#X8mH_gJ(aDP}6)=cf|EsK9_e?>#(^*xA#y>b`enNQ#;XOCf~949KO7igsK zV>!kDo0rkvDC0!n8;(hN;=|b~pfalaUG!dL%Ak~bZi!z`>Eko7TC+c$`=fQ!oAM8& zaYQAme)7|}u{vUszU5YycPX#zudO;V`{!%N!bSg0O-~o_JZOU)B-l{le)czKHE${& z#8Rz3QaY}2xQ8>n9k`A_cT1uA6oj0;X!ZKTdGa3>P(8Xpp5jF-o`f%a(ntQ)_BP0H zg(ThiD)nJ-@+#YHleyyRcUsP4XDv8RF`^1J)HZh9z0I9m$}f!tO$1{u{w#(%+Kf^zL(U*c~4(>$@T@so*d8`g;d(Hc%Rh7LxDf#R~B=k zfYuH~Cgd)06#j@-)xE_5UY@TZ%SKdJ@U-1Du7&5cotc=c)aHii4x5dxX^%(HLSut* z3X3dgub#;`Tew`t;1)xAYXlyPdlnS2iR)ycz2GHVF|oix={(m&X0r~KDWU}6o!x^6 zaY>(KMhF(a;|WV$E#*-eUd;&vInwGNF0)WJ^_H(Ow5sy|rD7`jxl*=)q z1A$nVgN!|3J3A*J=cS|xZ7r3f&N4`N62XDjjX`oG7cH(Ys6`nOs(P%qKtUkxqaBt< zn63a}Gl+^jc)3cc>`f~Wn-Ly8<*Ez_yBaJ|mprZ7s5_EM$xRkffkviw-C_{(6#@y+ zqt=Ke9S}0(2*wZrXN|-YZk;9nOJV0r5ibv;yLh#@hRNNC^3s%0GBb%&N}@pGm^}!y zD!4NCVeIW?k2b5){ML?*7Ly2xZg8Ct_+wBH&o!&XhVe_ri||iwoPAS8vV2vfqs*ME zpFJ4dM4Sz{n-=cW^n*&p6^Elq7>rpJ^7z0rKuvN3WF^_?t}08rPj&P5kGb(qx}v$Q zJzVQxj_1NH_urVJi>Wx~3O=*e1y3DCJVlj_1>IaL*$m~5itZth#Bww*QY99*rQ7>Q zQ~j94{^cZDfMRaT_GytgXXfAjI>tNSU$_3zgbec5&n7dhM2y}?gz(AtM6(fG9lToH zhG;%}Zd|c!1en{sTW!oy!KfC&{^*YBneAmUh;UWN8Z(uwNPj&`Xt8~$Xw8TOPKnC; zTjW&IY{xCryBa5Dsx~w15lhyKF$+B5>_7XMaZ-qok|CR$>o*b{!u^-s$`#0Y^zK?RX&zt>->e=&+Z) zkN)jI3zNkxugXi2YSM0d@PpxcUb@3fs!q@_@h4Z&gQM*h!JN=Wfh)yT41o z6n1FPxy7xBZFS;L-laHGRUfq@Itn;nq|&IwCkZ=RM5?i+(*!*K1>}ODTb`0>&gYbW zHON?f;(wv+C=0(uVMu-b4#zjaPloa5iE#%3iBDk)0`kgF=8gk^2LVkoE_;;EQY z-D9zYjYM($Yo`D(vNRx}4%=AX>YP|ojbrFJ*-;c4(d~r_$_UQzrR2~Kb+r^k)9}n) zIR%-fZQt4^21wFe? zRwijLvQ^Ik}m4X)a#x(Hw*9b*)^ zh%c0d6*L(+0VpV1F^y1XdfX0#HmY<~jg`4mgY=8EKH-;3M(MZKBzYKdhgn|I42dNd z*zg+aaH*6mHJK0WSRdO+6(LLg@7ENX%67dzZHCA4-B`SyET3f? z(r2$e4wEC$E;e(qf%1j_%h^C&ah^HmE&B>TYr)-O-|rWeQY{|v&q{JpJ~R>8+f5IP z7pdxl3LxCiXh-WRPJ!9M#i4iqOUA3R*ibb;|GIR)Y_V|3y6M34!>>#b5@!A1Y~cq7 z@bm)t$gRo4-pCDAH`Csy10=iV6I-2Yd^HKn%FAosK4X7-sM1rFa`1{X_mQ9Z8+)c@ zeA2=yVe!6tvZz_He`f9i2Tza2?$#GxRrDcNGEM}Xh?S^gvib#g3^+`dKcE=&Y`%X- z;`dE33~Dt?;tG8f{nuCVl^;#(c0dOHjA-q_fnQs41x7M@hPhAu4QsSS^m()(}{ zv2+m;)Nx60pmk?bCku1~-yvAU6E&xgIFP|qH8&6)r=~=PI_d{@J~bm*C*8@o=s2l# zkr5UOjl}$Z5I$Z$9p*sijEG^#9DgiciI(aRdTu5I31lgxc*j!GfF%Sx|Gv+L0{%RO zsn^w$r9>nx7P&l^_R^QTn`Uu?=hlXCXCw7d%;h_nQ*u?LZOA3kbwUJYrcuTT%iCBv z*0_Ni^VtZh5nTYQ3|QRH!uF#Oe}p!Gi$^z+dc;qW(>=mSvWxC&xAJF_X zXSuooEk!=%M3vYB&FE1;+<|JHirQ&$2jb|bjOcQg#y}=rTxkj)CWP9l8^C;wQq@$7 zvS^dbe^RjW1Vyz|8f*t2p0)@DbI?HkSY5EpFT5dNByIAn?Ou1eUd#T_ny46& z$A>`Fv~r;=?0?d?gh)U4WnVm6Umudw`N>%hluR;&Y@v~OUvq9u`>1GHPY9f-RToxF z*1wrb3Ln`hk*AgH)Roe42emqxW(ks-&wz<8d^H zXF%VH>wegu@G~eR{e14i7ygZR8kRUuX?&{Ot+y&KMb_Y@6Ga@1GZoYo=R-%Q44A+54TRqM*M8$q~3Wz&HlRmN;_t%G|kvY}<(|KZR4)42_| zDyE`h3=jB!?}_Xcmea|@70{2n3HR;wiR~DmYcHp@3)(xoF+3i6<=Cd1zH(|y^R7~n z!R3EtiJKO~n*XFaZ-7l&~IAcGUu7<_Q|74eLS zSK{W)udwlfM_e+>GQxu#nWM!u4YCyLcv=>GxxQrMQDfp)fxu!;J_mtvD2B|t8ADZ+ zvCPJ2#g+yvU3NTBBIyZ4_+fb6&nequqXei%UfN{KFqCJJy;C;&6wa~GQhi3@nhqfw$c0xQM-#x5SQW44$^(ea-%wJhDjbnXPKJ0PJ^9jt5XDI>h=Cgz$ErmASf}L3x~Ws9cYVi3afOY zn3x9)nm0({L53KGrw529$CSNgRGAw;x<1X5=cryQ41BAn9JLc{AhC1p#MdpX;T?Mn zpx3}?8~utoh21xGzuR@Lj~rQEogTxNl>Ebb7Ow=(mN)vuJl^eFxPLpy>$rM@Ys0_{ zh1}Ushfg6kAJO66ab#Qaqjp4$UHz7uW5vAnHdZS{xV7I~a_gk$Tfem6mq2HD9U?ZK`%#%vvvMLporoEZ?6G~(L!5x& zK7D1r^U)u!FAuL(4U3drZeij_*7OifqO}e~ZPLP6>ya<}>0Qf-4+%nG^i<=axVYMd z8_}MtpErm}?Gbx+kLa^6~PsXc(m$U-(X_{)4<4zK$2>sFd9w z^0s;O&lm%`CZvq0Um5UXgE(^Wjl_l@9$OhX2w5!LSv1o-ZE1Mys!7hZ`5p7kkvY9e zYbuG;tt&kE=D6%fEhaNu)tF+L4MWXK_-g9;>9WWA6~5rwoq##yr5O<93+3f=4pZ#LZ0-TNmj(Rf#kfq*_lY|P zW&6~AXm#`m7Mk68TKn0!h(^apx*l$EA#H{q$Z;aR+-e)d9Q}RD@^&ialo{zbsb2$o zY0ki(7>Io{J)EZCRhhehfkP~NA{<}nDLM@r069EL;<+MtsnQj&_IQU#U3!p2Z7#Y& zM>i4nePwiZe(83(O9fZbq}I`3nwlDf^w?iNTt!U5#}F(WVYO4X6#S;eRMp>esU*CO z;(Ck%)@x=^ORb1woy$af6W5}!T;_D^0x9va;hloLQ^=_#;6DFe-%!<$k`=32p4jJG8S&OUWfG0t0Awk$jonD96tvv6)r2 zt0492I?w~#0}NLkX@Vor4Lk&nl(C{}bQm~BHMU%d2f09|B1Dq5znfDYPat)qm*euK zgOAE(-+}3f%>=xP-5~3pEQB)QgBzyxh6>erVH_@^!G2Gon$rfoF7SEwtBqKkp-XT>B^qSG|Y&fBK-cJd&GQa6xQi zZm!Sv_kAD@aG!fPK__X};ww72UBT~Po2!fV{2uP;oD}Q}_R0PAfs_$d#<$NX4s3b5 zr8^(Gr6ixoKCba7E0oWl3} ztpih4PKf(*{-~Z(vf3S-*upa)wl*zEDTz*hkujEbEYQ@b#ah4pue-|+tWXciDV#OVo0JtYWcpYsyw#U|%lKX>FTHD6|g zMMP>ps>~xYLa^lzx>=*v-Aoy3PMy94cY= z|CPi7KTxEHc-Lxv%YucUlo@*Bj2JhiCF2vUQ!(L7Zt6T-YzDE&v^5AGZm4`&1rd}v zB2vaiYKz~9pQsZCnM-33!D4EGzp}Iol>@O=5Z$4k*x1oUREQIeo}VpkGA|~Mi#KO! zLXoN?KQ$O(RY22JX{ynOD$oize0#}RQ+9zO5a#D(xiuNg#btn&P~E_bAWFc^DhdG( zNz%VL!A;+$Jj10aPfrlUFQoR7nGSh_Cvp#B66L^^Sog3zx_adcX$e7&1{h8r4bCvQ zOu)z%kCUYmJgnVw=ay(0$f>F&RJ>Xecw}rILI-I$;;DlA*x;)0oFz_TeJLr5O@fk( zSA$si7t~iT@9J<7sZ>l}%HonPl{Bm&aFIMar`Cny0tE>00n1<1HG@g0n1!Fqw#CX(i**rw!E5j;UAd_q%K=F5w_ zQ==$}UEuTO;$Kr_)u1c{X_}S~b`?GtM||L!MxISHKT*)myJaO(M@oOsdqb~75Oua8 ztjn_vGm%7<4sY3G*y8H-*v{?p3q3IiB3B|O4`!OwQ{femsylvK_?GXl`ZnL2C2nmIG>vX7(Ksf5 zzyE@i-+{=sUG@ide;~;Lu5K{%&A+=)4@VRms)(IKtZS&Xz4uIBxa&tU#bndP8qW3r zTfUSfPIQ0znlzDh{ktlKRW671?7D34P#o(P{D^1D#J@1@K@s(dfb5~l8I(x6|J%P^ zPdi)g^clx8(PV=ZP^@Ll@8b;jNni2nE1XLZl6BsOcG|_?*>kmL8&u?>RI5Th^>(`! zvBi_0SQj_R1_%8EfBKg6{4;+oSmRGB@i3v*YadG{rC~Q1-1awXt`ATJMr%#SwSbNC z(>_SP;*!V<8j7+NkZIDH`>fu2;4P{arw9}Ju6Z+SghzsG7-2JAAI0yCP0h_aoKNa^ zWzF#Y{?ye!*P-d|E{uL@gBRI`=7?sjvB9%x*(2J$~X%ED1 zCq5S9TMjnn_EtZcRPNMLu12%geCV#x#J=^Rd~n~$sZWxrxZ@J#xdQ)AeVRv%K$DGj zC+8)4ZknQLHZ@R&Y*FjHy@5)cyaoOn8jr-54`+{8P2+`dJPOWJCbDC9;DL&|iE^$( zE>@9w2Z4JpC`a!arj9_Ku-Df};>kqIVId{YX+8o7Yg>w8mKR=-i_)HS+?ZTNl|pRk zLG%D4lTp_ciR#}N3Ry8`nZJOHsK=X;$SIW(BwZnNh!!B#tOdw3c+L&5kw&3{`wXtb zbso>D19N?GGbdKuIyxvQaJtn;Y5cjUNV@TEg`H3#uDm!Qx`C$zRFxQNy7>uQ*~Ka_ zpHGN#1SGeqQ@eYCI_*=m)(mzTSBi(R_$x4jwjsasUxQ+Z+ z-I4WwxI8OJ@iosai}J~h4lyZWwuJ5qfhD5(lFE_pu=Je4diC~Eb{f74t9QU}$;LbWRorIO z5`x^Of3Xm++@5xpJn?Zc&K(F{!>kN@<24Y0gzJPLh?)#WPEp^HwCao*g~S-7@k)XN~5bSRi@rsZby5 z$_)atkk5*6=(3{e(A+*necFazaYS|bk7N0ct=UME8u%Vqq^Anm^5Lhrz*_N*Lg#tN z^qo{VxJJEPkff~14`^+@cVW25+}q*;jT5>%Jhna&(M^Z`k2x`0P~h36%iS2?IuDDXp%M{wb;(e*?A7vqQdJ#bx>#8Kz% z=T$Wj1O$x&O0R;fpL@(#m4BZiW+7!3Rk#+VN_1}US}*iy!MSVtH|x5CRyn~HYPn%C^%DG_c~xKjeHQYB6c(rx(a6v}tXj0mEM zVN~F88f@X4Pu0li`g(^9|El1y_9zdpX#-Ev&Y1;dF48P14;vDd29o^Zs+`)94p+ik znAp$Z8JCJk@mhXn+#l{|L_32&a(@grC~&e6%7p2(+)3RWvJ&*wn`9$L0f(G9DN&A5 z7Ff5c6QIa~kiEfsVfAkDRFanRsDR)Gu6&$m4+e?sm=wATEal9(MI8Zt?mjM+9uaKd zfJaaiJbPDC3EB`ko}@+1iLO%V!?@SW~tq(lwHO-NG&0t0GgCDS8luPLK@y3Za- zb^09n>A*D$s!#jM-~(>FgJ0dvj#e?_M*$a^zsz6WNmj;>#+IXlJ2f{9O^RtlTq<^B z=I0hJ^m?aOjc z2!g0peVjZpz=OY0@(n6xeO$EkT)&GXgs)q!*x;IT9BWh|Jk+|7&**-*rfB@quyfn@ zRYHK|D_pAs;=*6|XQQ}rWckqSV6v0k8bj1T65R98pg`q3mvje`@sD0 zYt5kY$(zqghA4*6g5p#`o9!%cIWBztZB2`HAwJ@p%Lx52hfcySKI*@euxhtDN59sRPAZOm*>`+P593U+>|JS(}4WL{~vSj z16)~g-T9w;-<5Qw(J)s+41&PW5&~rYga;-Gu`zB5fn}RG4~O81gR^fYI5qZV8voLYt2>~A&>)Fg{z z%8Bdu`+WP}ry1~!-kT9ywMV-B_w?!0r~jSq+qWOfSMMMX%~~LzSiBP9^1G|KaPU(b;tSR&OSY_ZgZVbj{~%#(4=*&^kW8MrdI zH}gbdb^FH0;IRaE=nq^P?!Tu)F4&&rSw+q?o^UA|RhtiB5(iuU4Eud2v>;<$@QQsn zliF$v_JSI5Y^9icDDUzRtDIoz(o20J+yg<$U@YG4g5%qRrtTmaH#^0^ePxs#j*jF! z?%n}Lg0M3lCx=M?>W3+}JfeB-W4!g#kH86q0KVLbFNN}l|335l)EP=jYtb&!hV`5O zVQ_xAmx4jbg%4fg;w-iM+q|PA+n`PzzqDpg=H_wg;$XNb3w&y!F4z@jzWhZ?9BB2K z;RiHArdvZ)?QVXIORC>l+>>iyo(7{UoPFO}UfH?>ivxv3w%@g8LhI{W zg4D#U`KLA0XZzdhPx!91gdqOh0s?Ojzsa>60y*^cAB;tqPlm7McM94%NN6oCzShfE z@TBH!5U9@xlQNwQq$3d?gTo1W%6Tw1X;Y5iCA)v2WzU}-MgD5kV~LKrc3Uv}Pi3#O z*7-3(&OOB5*@sqIagc0W_lWRwdtP7Nc7YJz=#@Ppx1ZOYCDf_^&_pZ(y-@ifcVOWo zHo;4HpYYq?8wLb$44$#zTk;|;ff~)%bOd|?4`a5_<{(t1J)vN;#CY0@cjT&5jwfkdIT=k99=@cx$dh-_e>cXN3zO! zdRE9f`6+#ehahzha4~?ZQLpW{i4ZXfVUTG~)G3q)Eu_a2D3g7kIiIo4tB6lcOVCt& zW;CEd+LXJNQ7fIPn)21(m--|D9Hf?PieZg;=1^PoPFyg{7$Jxcq7a+b|Zu2Y8q z^Y2z2UPFee8K=QYdXjE3oG0T3ejWu|PFZx_Ptj_05Fa7z1L>e8ltq z(~t-v+k}VQ;b4*VHOrR;?-^l)DZG9>HnqbuD(djp{!1p8t(#iyrfEY{4IHIGVKKb468D7UaY^9)W~PQF+rlCKA#P(f(e=6I-L zIKH(=+Mf5{{cL+kJZ&BuzP8+({sd!f|G5_4`=YbJTfa?*_opd$Y547DzT*7Livw9N z6L4rR_Pg}HC-bXliXr>`O<`$#_pBWbLz()|A%0H#z@In!(gz>^Pw_00I9MNI5soId zK$DS1HMQ$2XIcWS`t)BtX1d79Gk~=D;9aF%zcdubv#fcbHq^Xt+|6_yDkc8=#tWw} zrykzHZ-@{7Xt99EI9`j~&S&?fiN|huZ&uX*ABms~1mbnhwe9=3&>jYi57@AWgn7WDpV;>279bI_Vgt*BWa#TORO-lvs&^;9#lDGdFThT6*MYm_TTyy z5bO{?6--D|zICCY*h%R43F{C4f!Xq(Q!GGLK*ubndEfe0x@6mbHI^(!pUeoVZNZW2 z-5|eg65R^B8nVIc%MroH;Iade)v(1mvI;z9t9sQp3h4>4-QhA@Mo9iRa$%_~S4Lsj zg(+DLDb;oCM>3B?Kan%bAfHUpVTjF~4y6p00xOm!duUxu8&Esz6%tD>Rl-Vgl@<5# zDiRQjFaJFLwdh{SzXmV8iTNx-k+`Iq`0{iDx*h%95j{Boe({utV% zQM(we(9;3Pd(MujrIaew6vP|slKcjOna$$1NR$x0r&O;Jnp=o@IzqIWax3=w0Ka0H zO_Gl{GhRZc7VIk4H;BePk?|=67*$O%*q8E0n~VsVcD2{dTLa#ss0DgPU4;5 zQvrv!9WY{Ro0--Y^d`&7Szp0jz$so#dpQKIdPd+YhL%HM89~s-pl+@AEt-9228L_1 z1;;ebvE{Q8bDLdDISK>Rw1ceZfS7yK5|fZSPpEc~xb~IF=m_m*}I8 zE^ht4LM?W;qEm#< zNpbI$OcI*@*)54${9b8P_yedMsyH?9po^G<5O}Pg$pek5WfEDXCP1T@p(SlaXyH7b?+c(~y^ zH%v*R>VWS!j@bMSHedQuTRuBg%aM>_?)fkwzWkB`{xZyy^mT@0z3L+MsK*bt}|%moPn zE;DgTP__`mD<%qFtOX((5*H4-432`|s%jf=NqQ7_W+szKu*~tNud=Fl)7JZ&?Yn<7fQ#t(ZcsiPgz3i7)Y_x znXEX7pv6KIUaJaM%bg@>1JG#?p}N$wEm}UYC9Eh9>=5U7hen4e1$CRLgqn*r6}2ZI z{XDHH{v5q_#LBA@l}#+sXCSIIN0m!YB<-R2D{#UM=5t9S3a5p`QIaOaJnl|eaBdJ< zN>8!9>;-ad50^@MTLFSgk%+GfvD_knmcd7CIC=u#!Sd{-F3Z!Yq!>Zdij!!N`f+T$ ziE-;0t`rO?LG(^2kXVzaB>t1+n2LPEzO0VXftR)vNu$5R1&YHa-{1I1WTs{IOQ`Qa z+y9+Qad~-q13y)Gn;cn6>kIYKeLtSPy-McO_W28eLB+0a54c`vc_HO&=I>5c%TrWs zJD9}wv?dgX{4&c92=%0LROE@kkyzm>s^bYF%Z4LgklkZ^$7ZSKHTIK=(aV2yCE)fv z916<)IY+&ZjbZM~G(P406z>5QB6l}<_OoF4$G9u|j&COm?q@HsvB8}o?(=9&ceijR zt1X^Bc~|o8Zp30dMVNQmlSS2#+TxqvzOdK?t#}fE1*#xCi@~j3$Ml96+=HR^qk_o} zJT`nyoN2v87MMwkd4-~cCfg6iPldxpS0v+`32TqkoYD@id~J)5ic}ml~P2=HQxlD$*q?r9gV6L z`Lf5W--!_d8kMKCxllI-x?>y);!g{FMjve>TxY98-+Wt`!PEXB=5p+5pGD0WX+BX* zG9X82Bs+T@tgb_@W4HKp^&M&MZ_mX)@B~XhU}X0{iy9R_`*Gu%-l&L^LD^=AO}NuY ze0sBgm6bH@;3b`CilmrRoF|mv1b`U4C;ZrIiRM4sVzs8B)v2p0KUGl7KQQ)}hfD~Y zBg$cSg%&$oegZMy%!@G5ab)YbGhfqP3673(uuj2}PDnSq5=_3BmY5H&R4ImiI;|JbepFB@v`E(;=fhu&QQsFRCLv_!y~s5aexVTM4^#%Mm)p{r9gTv~81Ez5T^F!%RGpDEYR<*b#uG`h@Y0R1 zW+%p+zzB!WY-jF@e6w9T0`u^5solp;`FXzN zK5)#{1Be>mUJVH*#YGuLJjb;xs|#)|d06 ze#B8s+eJvg-3@&B=?fT)Ua{id2m69$ZgOe=U!PUHk(@sTE!TV^ozLD$ws5v04!eSi zuuSHQROuHcArbn@{Vr~h{NWaimw+NS(kX2f)SCrouL6J29!;LwG^))aeU@6mv|p`U zDH#=tcmn|s@vz`qS&F(G1CDQY$s$}3QY*e~R7*(FB2MfstOTM3=qP`zmiCv5$P%L^2Ax7)$$Y2NYdAgFP zF(>s-$m!TzULv3jj3g0S_(Dfgz0_!#>;&et!lZ^nB}{Zkl3`GO9nvjkC=X&o*p^lI zQV>1Zie>Gji_s@BJmJ#PnkZDxP~C2#DI6fs(|xpqkT;Nea!M!YpcVIk%N;#eDpYLj z(1S~vDc<>GZuw6IBml1jsX1$@mhUA}z|9;xdPqeEK@nl{jS`)9_?_tcDbPV$fLT6{TCbT4Gk7X%|FT zVKgNI#J-dh+*uDVCu54^jN=4VHj|)nlpo$wmJHaSPQwOLi*0Hw1Vzw2og$KWw_z0m z+B9q`c73>0cP~;nHD9S}4GI&`Lb>u$R~r~obD|zmkU}?T@`;3ShLAvmC&(ks(8AB4f^Lai<5Y#BKnejUN(Xf%2K=9H zWUn)W?scZu3%L-!59_#M$@%>V^wtU#pLaanY#}PCHX}kf5%viKPlWjDur+?vfFe{p zt+5t+bPwISlfJT@>`E0t4uSit`H7)s(bNK1EtgKE59Ioji-qZ|nG&(dwlnOvUE8yE zBP3xzE$2J7wzVa%E>QDAlAO;S?L% zQ|L2IPfh-U5=H8jV0>}_R5n-ZaavULX@L`2fEB?egcJ4fSo3~GGOAz>`|^$lrb7o1Dv953{xF52?h!3L*^IGA^c#-XU?|_6xs{vP4?Bh4@lSfyxrW zStifMAxt^w1=Y$L#T2&Vn0_4jMs%lkM;Uf11&S#GCbb)ZyF5e9*Q%knQL}{oox)RF zl=xI&4V}K`DoIH`C8z^Z9d0iLtb$J0BK_k3m`(Cqhxz5eFZ9K%oOyHhVlA(Z#AkdZ z-ckmo9Gho*Cl6)z3RxQtaIXh0N%u^|LKOb&!Urw_;Kv=};Q@}fZ)x-&*%tV*(D>=g zdURr(v<)9$w^pb@w%D20VNfSuge%b&zk@=uSn7(M;9hJM%nOl{O5;pc3ht`sH?XF5 z{7E9EN7|dEcO0m((utX8lSgNqwk64ww4c1Za2MfF4F*Eym82U4UR}7k)tY^fY>WC= z7wq}xFL8N4E3-+`h6BH5OPg=ar|Pg^Zmie$SkQAzx`gaHUNNv*+4ImyuY7-U* z11FB^|7(H`m)qvs4^5W``IV$AP}R2bTU$8Pau>T|U%8AgB?!^b-1b{?-+x&M^_Oq4 z`p^DK99+85i(jMM`=bqd>}t&qN(kaM3h0?#TZUshpmORWL}{nFK5}EQ`+}7y8&Szy zKcB?jVUuUrfpC862vdY)+JQiU2aBO2+sxbD#VAGfaX{_FuWN^EAb6M`gKeC*CSKRS z?|q@+zcCTA2hZ@M;U`NL)}=#*mFYtsbkk|%lAch>r(F(SBDBU^coM+jO@=}?ze6CSfWgowgek)0L0Y_J^=ODD}iDX6my zQ}xZ1O35a=lLJG%P)`BYq@uw`1y1&S<-u}tZcs`hLHT{vbeQXAC#Doe{$w@2*0agy z;ZG90S8+GP6zyn{{TO>d%dN@d+ng{?1epSvQF)wX>*hTHj`H&3vmSTQF;JV;f>X8A z4K#@c2+RmM%7Fm$JnC^12jx*n2NEtvA=}djr(DD$yC^G~>pIlKogB}smQVWdD#ScS zQL*Kc*kWZhm{#J5qk#3GsnSgIe1<%N^2^8;N7T;z-ZTv5eP~tt3DoG-6*|RU+vY+$ zj-T+xdx%C=CLk1SL00ZHyNo(zvnbTL$X6RkzIAm)U|x$D$;fY~6$u%$~; zHw5kPCA%SzCLBp@Ed1z56OT`pbF15T(peP^fSq_^!_6Q0mvivJYxo$tdM_;SjlX<7 z07cl7IBVT+&OhKMp&K*-s-~Qc@zYSN+c<9Yo!k@96L^huDVy7Z;2M`NPDSUTyHg(> z5Z<>ZDFY|#v9hc1o-8Hs!c<$>zAqGQ?D`*Gx9nO6Yjf%pHons?+WE+05GrCye;7uL zh$Vi~-CDzMb#@UUbzW73pa10|enAW7y5Kc8aSu_l z>F(_EUBzm%)jvsKckn7jI_8t&mBAM6CHULk?8{mk-oIm$vT#NSNz;#tCyRWiQ?(S6cBh_g{)NtS8C zJu|A48}QT}JErH7$PvYC-F6FWY*lGaWO+{TkF$g0NtsPnyEO-xd^;^@3Wu}X+0voP zdIMihlVPdV!56V9dFFV$9RE8YM536Q-=z0UxgAwL5(UG%zXiK+7+MEej?u!w9*-*9 z3#T(><2-eMilb+jFZlhj^lC%MBtge{4YWhf>p=YIp0X@af$Bi(%*n#XIY;v$o67!zPklF(KNqsE>x`H@wiTP`A zL>VNutYWBjxdYJwql(eID+tj%ZDSk1K)F1yP?TJky*xkiGtT?x(j}xwHa8~#{2d!Thj590}}LzBhbm`Ak_QCOk>X`exhI{bnqfh;vV zXBl81Yj_6Nh_!@ML#&Yi2Ge+lN2Ax%kq-{)Zv21AvyRcSW_yWe_H zUutC8H{V&<{J&J0kj_(EytVIEld50~x$P<4ZSr(-7WOaRndfayIz2$~^x1KYm$(dn`4gKXTOnzObUrTF+1A>IqNXj%Gjf#ItlPe6o)_a&^ClrL0tHCJR7i| zVZ-BE_D;s9tUhvIXOGM{uL#ez7mC=fp&vAYF9nrq0@1k03AzMax-1;yi}(|R^VaMf zL{mXN5vtgaI=Ed%kJTc8jZE6mo|ltBs` zFyatdORl|?SWus^K6|6{w3j1sNLww!@u=oPKS) zBrj^zRV!ep(P=GgePfoi*m;o-o&N~|=4J4nRWn$3pbe=BaEmxfAkSjMDCwZxUqCRZ zhHfQ(MI};pJwdG3$x0Tmf{aQSbF{JuXlW~Qgy`FV!AU#K4d&1W#j>({HFN@gD%KIq zP@dS7g!WEK&jl^7PH_TX)k;9r?cI~Xv1=E@R5ay4-Zus%tfNuIqRDeoaAi!8f0 zf5}mpaBad!*991E*$1|{F+bMqjL86bxZY&NV2kU>B0OrKDLmp$r#j=!e;aCPg<$%y6IeZUGZF= zANE%Sejh~V*eLA6oBaF9HsgzXQYT>EJn`Gv(yjTO}G=7@eBl!5k;OHP+g0sw(_$L*F^coN=lMP%LJ;m zEcvp%BLjsS;gb226RKjkmr?@1CIsf2Fc2J`a^l7j_YbfIE}E~8(Pm7h0%#ZQTjAO1 zLE*_Pu_PN*0AkzzP4>u()?A|W>=3@@W?!S+j&I*!(u^W@@E21%i; z3+}jBmeufFLB;V}?fELhGTRQ;Y1&IRdmJ4|tA_aoIj1z4mQO%I+uAJ$u!}~XEU1`* z*z;m@EBz-k!yGj0Z?WaZeW1Jn0ZY4>6MEoTsgnu5iCL7;$xOjLfTIRyVA2|{!k!gh zK~E(;B0XMnGEN8l@rhiu@cdhyy7c-uR- z;z%_W39%^SJHN63Vgx>j(n(-FplD* zOi?|w&oYg}@@Qws!SN{u^~IPOk`6&yZ3P<|$U8(j_R&Q$8Nhe-y)L``Z006k_+(EN z?W8Wm9av{a&hn;!eCi z+}2X}dcMv2i^T8!5;sp##eRPELLt#^R2cGRkLvQ_50HQ_I>zfPrtbaRmTd9%yMrgT zD?IuOznnMUzdxnZvUIuXdv^2l&9ibTAN9$jBf%)m(9L%jHbd6O8pO%Twwhx8^XJdz z=N!#lxb1COl3kxZF~YVp;N<{mj% z@%3%9)3SLMc#Okg#r{@bp8mjN$tM=u1K}%KYtlFW(g{%kApjn4Zz4icH==$`WkG`6ZAfLEGv;MK*q0_vB zb*4sBW!shRdU;lHxxSbZp^)B^F2+EXAjd=EU-b8%#SaW-_P$zvi*AedbIG$d&6Rh@ z~qFGb>gYNuV5IS>)B0B8Gwx(ySVk zlLudLqdd#e*ToPmp0cN?EZWU=1nOz=q*=mp%HvuTZWr*xdt^M+<|hIoha?|%RZ6EC z7@UbT#wS#S*9ne7hijr|og3*-|8i4^?bm+(m=a2SGa0+kE(_ZOlgm`(XeujcO{+f` zx^qDte~{O2mVU#gB6d-pGmY+1o1{XDPdLBtkGqqK7y;9N<3Y=w-qs(AtI~&&Op)K! zdAD=u+x(2tOVk9=JOg>|>5J}DH6&uML=rq@Kw0~7o9X}m(vdqt5?TxQd&YIMue8pN z7^)`6|Gq1@dFl5)mKEVQrY$9eMFU@VjPDu@xYq}AN%D`nslVTUaomMy7b~q0lt$Fw zPuS4cFYx<@@3Ppa6tOM@Vw>}9X5DbE{m%IJY;i^C{l8nB<>VND&M{W>4bkIm{6lon z)tcR=(w-tX;Y;9aBBKpu@kccjv-LXODtvn|OkenWQsRoA`W~`W#AmLL4V}9|USYT* zpgp$F1}`q9Erj)9_ueE8U)yF28rGVBSDW0?zs))q0EL^z-eid*#`^RDxll{*EdwhK!x@?fE!w6n23a4yonbapYmqhibOzC zY|_s!0ME@tiyoKQEz#-A#>r6J9nO7fTQhGwG1G{s?g}>@{&3;O1mDl`c>8%*$TOx9 zz86q~vG90bY?f7m30FF%u0V1xEJ)(%bjf@f@j96Ff)L3}%XMj#QEYewx>&~^RgOyX zjw&xD)a3J79~1Rrkjm`^Q4=52T<~%)W2`~kjzd$NF`@JVjOn>_jex$OezH;(!rCD) zG^x{|@yRM%U36^3@Jig_4oOT=PudYi3s;Pfl47ql#Brr9ROAU0B{Nt(z%-KPZz_l; z6dcoRo@~amuS{J}Rr{Xk#h7gwdQ$VhyYh`udD@f}g`Y?K+W3;rLu8a%$ok69@?EEV zir)~Fg12$*-VFAXNF_|(ZzyTb%W|bV?u=R!k5_qls6*duWr+hAEw z>GF(R$f|4z8Xfr7v;w}*^J6p=riAX0aihVQd3&l9ir4SqPAn*$FB4zl5=s>C6hi3+ z4yilU5vfWbRqSeN?5HIYCEIRTa~Q7^1ddRMZkTL(8#^avHI+Q4e0`Rk75fEC_k6Z$ zdU5GT-U&aRz1-Un9BlRaH1W^H{Vt!{1xsnzI}>xF$u8vi-zAeOjY8UTbb}fH4K`@o zHUALH>LsvwjW}Sx-I3cv^koUWSUuN?RFt6{rvfUjSAs|hJ0urn#>SKFJKVRDU9rVx zXkw13TnPQyHF#9pFfq)C%kPTN)CfURclwE6{n+v$xx2yK6}JZ0JX*`kkIf#d`Da!K za_%3thw7v)4!qZ<;4FADs48S#lFd8jYn&IoAj?Ny8EgmPvd!k49Edx#QaR{MR3BG?2i}Ob*M?@Ayqbuv_dfZUr)#5ygzZse8AgAKs#5_AvwgZ?^1xVy4y2_ zO>w)!#gyQIFKsF1FrTC&&4FzVb#Nc=bb9Q%q;>UQkLb-=PvGNaN5i*GPVYdr#U?&^ zP!S$T`@JONXBZR4pw-;VYt5>(WcE71@M=<(_@kRX0p;+xj_(Nky&>9ij)j!j{F}Au z7*g|Pnw})36hq;f5hq8n#Ic6(5AnigZ}HdBc2DqD=nSu_@2Nw_??L#5pD2cG;U5t3 zYT`KKTQ*6kmb1@O@3wMEq)dcu3{+Azu&RL$NBso9Q-t&sfsZBzdQ~Vcl8@cYAiF1+ zEV0sA*}>X&M`n}Cplsm0!n%PLu9csTEn zTXU3Ar(sG;0sTgqbFHK$fkBq{9SV7_c-^%rLLFqM1OZ9Ka`i?{NxDN2iu8mC zXh>cwfx=N3;BY(?Va!PDzltX|D)OY~QTOC6V@k&B1_eW5Q0d=QR!Ss1(;?3? znVZdlxAO#=9#7RWmbh~}IpVp(D&9kRR?QfV*E10tRfh5wI`OfT8Cv-h~DBVM}`?UQe67k953&-uQ zw`9{cIa8*}j#3}6-ijx8%CJ*4)pNWOi8Be|kY;*bnVG}mF8r6y&U-maeWD*hEMI~s z;&TYcU-3LhXfBI{6xNsLxpb^v;9Z+}FRdSBRkr@>!%6t;jTdw5fwXnK zt^RU^>_&8U^%6Jqnez+%XvVFFW(Vxa`>wGwTdG|Ol`psBPt*7P)Q;MvUbM)z(T(P7 z;+_1^iwt>e50QNPQ5$V@dqU3Z*k5#nE`9mwrD<%krPlc8%f4c-^$pn@LtZ>gh|lUS za|oBBc880PCa%sq!%s*a?Y|xM>3@D-qK`uS!}lN@L^ke-fV0q@m@s%f7*AZWSLXsn z7#%<4(j_8l9;2z>i9tTR^>eHy%F<$O2t28CdvZ%U(E4{#Fp*l;{-KV?PehC+V|&mj z>=z`#CaU@bOYB11!e%N?n|TrWjd}E$|6WkEm2y)5nD+&f^Ps} zoWx#;v#?TE5!4|v20NRCwuCO4ELI7wP-9rHMi64gh)&45Az?bWvZQos^~?-fWE;duH~2#-nsG71 z=>*Xtflpl3h5K-@hA<$c#HzHdvH>fsXW%0&TNO6*T<7k^&V_}Lt_9tb=!6n^)}@TQ z!3;VFt%&Lx#Bf{)(dz>ps=V^ib?#ndqLaj>@08R-NqtpgOjoGKB8XN_5qyW1I1Qa! zBB2gcH%+RvJ<#o%@&9%N|9a;TrSN(aJtca_ccnm!R$`6t0w2w2P(8woA&bX?dvJ9s zR;w4chEM{T@R3lg&~^z{YVvVBu#>w2^VYv=89)BejBO0XFzA zr&YBm#}h|QtX3N2tKdOR>Bl$3PQ;1q7DCt9t7Wwhs2amTyleCBIUc_iL&QQamERU} zqzCrS)Rr<&N(E-(odS$SjYjSYd@po6$S1X1ypmE5K}rL~85GAp=2=NfFX#xXf})4& zTf(9C*%drVvo%%|TU3g;(!ieLX{|*nrYCUkHlN?0+7Ec0T9Tk+SE*R!w=YZ9MICT1 zS{pTTdoJZwQ(;s7H)eEC;-c-oB#Ga6HYdik*@E^Eip9sp#vUpRp```ej6G+Z?Ir2% zw~7?-eBzVv!I@H2PhZImnP(P0#)N^Yq|vvI5q=#Wz6A&0)b*F|aLef)j@SYcxwqxP zr2eW}bUo4)i4uaWjK|5L15X(g!qTsN3#9*zBhNf{zaDSWXmp+qHa>a{5uQNL#Cmy{6(f#80m@6<1-c?v6(7R zB8%7SusXNFk6L!~7q*nkl%F_UZibSrWHG(#?VR63YD3|7{sS5}p*LHa+ND42wYAp` z-29_&Nw5B?eYO-p{lr(V8&RKgDpankC0C!UWpP<>=A$VQ-C=0=qv;)s?|)mk`nG>H z;~Yh5J+dX?3IN7J73ykH2QI!l)g7XZfO`YmRU$*g*S!hQ^i}b!K^Sn zd;7gW5V6#O>XB42i^E9^(R2H>HkzTM?KxD_yk9VZLtndMXc9EX9ld6)Kdw4%dsDKPJ4+Bf zH@D2O1X-6-C(>s=YkfZBhjM?-i9vpq{!y2=Zz$^`Up*txmuo zfi#L4)TE*Gf~0=!p-l^a{`{7Cd)J#488yNtvPj0i=b9n;F&mp-EvqrFhuI|DJK)J3-C^#zb6vjuyBR~U1-tq3tl{?b z%}^-)4xhRIQmMF8j0LVcH86@tZE^L5OX5CuQD05caPJu_Q}9wY%H7|-rR9xn_6y3> z1R>F`-$sF6Cn!sNXqyl2GUk;hW9R}A(>&pt=RYPS37J?w`_#^QeCv)vLpcI7>xHEkn<9L;@iyh%E@4{qUVk&h$}+`z*a z=qgR~Iz$Hy<@2&O1b&UNwF#o%CKFffMoT6!FKjQ-P&_qkjiBaakM$xd=8KFMOi?zf zlsutg;yM-z#qyIVXinMmTJa85!<)nct#Bsi9#HZZ9TYmyF1aH|6_7{P1|h3xMl!s! ze7hW;93H_&QtrkWQx0$CQlZL~FRFOWGFwKkZ*mn`=28ny(As(j^^PQ1s&?xj7TdEV zUJ=IC1p@Jizj&CWUh*BSp>`;FsX(P6z?^w=9qE^^y+Am9VAmijMF=HAAmbw7Tp{Ba zBL*DVdRhi{WGI=Pv?=kDe1eef0F7|moV`K|p4+Eno_}TSluO`=wvyUa4dZ&dGqG@ZfA;Vq33i*u@~#|8#SHQJnX3U)o-%g_Mq%Mw#4vH%Rce zy15vGroAqERQtK2dgZ_t4h8KA_RRb}QDjr&|1^Y@yuOo)Bkmo4QyaETKN*IkQDymV z`Y8;!fo+92S|2#PzvEXfXbiovI+5(pa>Yy(h-!*`CA;HWo17|Ss0V5kn^YPy{`><2 zd3)wk4T55A08B(h$uy&&I)ReI#9Z*;{&e(~4`qCk{-0`0WGA zF@+^HDF)!{_(1F*bNeb9)xOY<`3H{gikDvC14w1&#!#ZVBy^f$%D&Qy`PDx;hhj}PhH?Ml>O=TVHvI#S7ER)~r3X#^87 z#xu|4{Z!CZS}^FMe!*yBa}P&XccYk`MrmaaKrmcggA!{zUsxntSJp_`2D+1ri-$OT zeA1-pz^s-ORr6`C5y%e6NzBYz&}lf-F5xkF=F;(kA7ETYKnsy&HK@P?lvpSXGj!8D zevbrOE>@8zzM8^>dJ=$`Cu%GFdOf(K{_!jh?VrS)(vGAQxIQ?xaljTA+E;csT8)WU zrO9G024>{%bx^0EL|y@DtgYjNM=cC-=RCeg#tAqsPrL(La?@6j+J#LzIeLMe33^i{ z6O=@L-dw8YTGxe^c!+9MfT6Ast8WgpMA#56P-LPTv6<&nmnfykt%!N{Tw@w}HUL4Q zAv-}xJ=Z|sa8&?KK)bqRf8k!-LEHd!cb8=VDXD&*rJv7tp8Iz^}R1 zbs!ynULQug#xk;ZGYD0Q zog88jlJ3rd)}TWp$}e*2Je^~yf|AXuq!OE-!;h1;p3m+ z_sMmZE8BCV=KA4_OE|U!8{T6JaJ_WSkIZK(?fyfYxavyv)d(V{+6W335qfwC2e!l$ z8U9Z`d1hucz6BfQw}yz+gi>hy<*fAb_&fFG92!;JK5MoHhLeAP!4i?(VQ$mEbM5he z@_7*M@Y6*yfnd-_%^v$$>Tkf-Frz)Ho?!dvE9Mt>^x4*}*Co!W2#;);P_4&8<@;83 zE`(p1}3C4@J{X*LpHhhlG0u^M$yPSU^7!purJqZe5y33yq~g7gG^ z3k3u0_Q_KHWg%9i{3ioXgxK$lKq3(nl`0Ul1u4N^!AsG*o&m28g%zUd?||ooO9#GT z5j)7EQBRT*#3@mZSWr1m8|c3rwVY}&Fa`-MQXFPoya$q$&IfG1`}EIx=?xAnp%62Z z&7sber(phU%-U9jtZ+wTV&35# z@H6IOI8mn$T-NpKYFs9xS>)$w(ZSjQ(*40<3N7;(besDeKV7%t@W4(l5bU@40@=Kk zJclX`c~}|}Yb4s#arkhzTM2tdy;<&KOo`7QiaT*I|G~XbVZCjZ6l1w z@A`L$GC8<>VQ=3YTm<}?Kga>ZmQLBrTL!Wmx%4ykrEq?+tY1Cu3SP+`XH9wNQ^5k4 z!%&JWeqrn1e`c0`@a*}(%f@`Mowc&Y+q6XK0uf`N?IPO9;L;$!hnv}lxo>UtYCAr8 znjOB-id)}i-gfr(itM(CbqB9%jomIedukLGV>AXnlIND{r~va z&VE33uOfR;XMbXw=Z86JDW5+b&lyoChVanNAG6xlFZ!bSKL*1Wlx_Y`S@55%xumu& z3D@o0G`C`Jb8|oRiyDr*!?TZFmpccu{=y+!9=UojwVIu`#mehciXO}ugzrr=h}==gfzfu)$tFc{{L#% z+z@GGous>_o2#J{M83k*N-+s%S{nXJc*LUp+-*~B*_TbmAjMuX}-I-)i z_hhSI*j*n2(e>{R#Uiw`t8Da_4{@^Vvda^PfabI?O(#IXF7DetX!YOX*ksb5_tvl1 z%eq~&t?j#uNozy>uDANk_PJ)6z{kyXdnHZm`EApT_2!*o!rrrsH#7#KXSV{@g$A*@ zwI+XZOESQB?cO&n=WU18>?(=_(doN>btS&_W7KyFSr>w8dw#+73(L2^- z1~po8?K^|_oYG7t9B8H!a;gQfZtv2dv z4VaJ6vGD}sV|{4_p1{x%j3>tHm$c;Q4&%1n^`#(l*w;^5(@RWk(s8|ocP*x_AR<#d z*UHfioQ3&_13&rCB`n!_0qNCxO97SX!#P~JGDjvnI?%c?Q02yUyO=_)f}|x!nQ6Kx z3CSOuyBx5`BuQw-N%_ypw=Re*G?sG|S|Reze#jNveCzPUOeTossDUj~ZjZe_go+=q z{95MdeTjoui%@>uqvTj_V9-1!4QoR{F|LiT5TLTvXkCJ0w@fdi$`ZMh;AA1QVzbq! z0&YD$K)4Y}jdgU|6wwv&RbnjaReVA(8i;>hRnQ$k^2jB^Jo2R`w3`iIa%i73I&f!1 zrI*@j2V3#oA+qyYwD`)5K;3N^Cw!~Y` zTt**u_!%fx%X25VN3C&9Hf=BHCfweEEP}9=kCQ`Xxcsj9sivAecN+!`=Buk8cS7NR zs=0rtFO`7L?UYAZxfx7>q&H32o+wR%x zVdFw*g+KqUYu``I%GTeVzj}RQ#8QkYm{So}Qi@QVvzq1Yuhxo*I2IAI?}}Y>Q=CR? zgXM32vz_&a-`0AdckrO9kZPHM$CO{4hdrwDPOj#Wd-$=b3)VJ9Lb4@aKo-uEWs|gd z)OPQ@yU4yee-FP1_1+Z`>`UinGs7PU{=aa%-|cTKL^_Br!?Du-%$B4lMmxERvlBsk<;mqZ zVoy(<@4Wk;)EY3&)A+~G!eWdpp|q3bkznl~aarphZFQ)MTF=soKN5||{<+*IEBDcD zkYE3D->CVIrqd{LUJPnSv@7Ie=afQUq6+{~;kL3Szy=gEOV>R1gG)^;LJN*%HXni`MSWAD|w0z-CYt18)3fnQ-?6{sdU@g|R5b0}swx?1{C_fr;Yd zu*01+gNsuoO{AOvw587;NxbsnTWKFZ5Y-|7sp=)4QRt#-tW8lkt5 zuR<=xk7wB{6KHYS2ZM&9(b*Ehp5g64Laaa52o-x8pfN;sAXw>xepC@f7QxIaG~>@< z2Oewj(3xEPtHKn%K0xZmDdkeJn{ix=#U|hoyzHRZDY-f_l)F2`#O&l;!S@*+^q^Me zcq*8fz=00`I>B(-l#G~l!(BdIe75`rD2S88qV>CNLl?!Ij+Xg_QFjKPiJZUW`u}!u zsV5%N&+=FQw=ef)K@-lOv%L*bGmeS*T6%b981u{ax?F9q5Td z0cj^s?cZNu^BN&T`)yI9vf`&N$lO)G#c9+B&I-co3eWt{OY#SyIrhk3Ct+#giem2a z4|toQQ~bc^FDTj+x(E6+~-l>7aEoOp}kzdAd(G`!f%$&RZmpAj98#U{H&p+$Cro%504xOjbgfsOM zPuGiFFsCzA-E?kGy(R4U%z62F+mE_;FMsyq7XI(+tuaY6V&V*8^B#6jpt(GBR$|-v z9Xs6Jp*Uho0W?Puiv6oIUR#7i+dqt-kVQu*{jm9-3nr5KA&XmMU~devcFk^zBKNzr^X?S1M!wprHmO~EqFt~v0K^=9HOP4Y49BSF+NKm3`FW6 z_-BOWSrHDFoh{guk}X)UIUL=qx&r)_ zkd>VJRF$@<%0)c+Y&l`4)C0N0z3R7T5F@Vzk#`F0gFYt>lR2=00szMYLYYZ}+EHMH zzRPSkzM$mYAtXWL(9IAC*=%YTPKs{MJH<%Rbtv#kJ6V%JC-7vp^bX0_3qgH5zz<>p zM8nBvHRgziO}XIT5O}jIDFzEmn`C!|rKPu{v!O#X-80DR>rHw~M|Ld~hzOT%P0j!M zvL%OWNo1`GKbe);-==xCo8Q8+9~|*1?_(TSKIZmflF-Ups)@j1>xJUb#i_FD3L~9@ z3maZs;5+VgG;U=RG8FdAi$?aeqe1;^hqD(pm1GTqTq5t}Ao-lmJM#fwVh_G$*6N3H zTWa&Vsp5BTwdQWT>EGBps3qV2@W75(gT+s5b3^s7TKL-R0ApKs@DJ_SI_u83Yu4^~ zvX<`stu3?T=j~Z3R@?W)uIHg1Li#pa7=DxMsg>g3S;sZ86gMHCYU(lyMAeQ$ZV82QZ z{~wX1(nb3m_dq?Z;mW${cGF)sdevrA&Lnvd|P-7DW9$ohWRHJWn^9h#Ksyr8Kfv>ItECs6`b&r8@LUN)YFo zc*~m%gO$_JcX zd2OOQ^h_a^rIlec$(bX!^Qt(Ej-U8txF3z=kj zOtaXvu(6r;GfdXm15n=}naw@ck#xK+gl)}la z0WS^=8cxM_%Rwq()SiZE2F46;ltxp?8nvX$dHu)Wn$@_yU>t9o)ZL)w*#>N9j8a=E zP@S)ygYJ|YhM6YWvO3rL%!!#g9-kG+E3m0 zyr*-r?BQ7(E~U`D>{5d=bI3-3XhK>EE;fR28=+27V9d$x23`nJ#N_52JA=A)Z;rMc zZe-1=t+rh}D*>bH**tKLfrBiKU9Wz079h{Zlnq-V%O&?){yBoxg1Al;tCF9 zV1~kwXM})?6E$m^P%Vb3B?2L1*>M9Q<=0VV;5ufelj+3YD&h#sPoL;bOw1t)bRAML zisU*}sk(B}ZZJ0mM*tkd9)E2tq)vtwPcxKw@|`vuO}*{@u`n;1L-SEmQfuRzQl|2< zI+Aa0nt&TaiK4MT@+}m-WWGp`Y@1#tpgXJ%nFGs5#@m08PcJ-vQA~BO`#_m`kj}|1 zl&Ny*3JbP7jT)bC{85P5S;0csCRvVQ6}ESPU}wvh1_YTd5?0kQt{y=Nyl_<&1y5*S z!Y4bIIgQry_kQk5@2D0x2bRYku7}pe^ou9@Hs={>bBE-ztM?{LwTawTHa~CA_QFE{ z_@A)=g62-L9p3(2@Xs|lNuXM|=9P;yR@vb6uFRSmb9w;R{>nY!ZO}^3Jt~!zWS74= z-O*Y`O`uRk`-MwZ;452x&xB~2CM}13D&T}Hxpze7A!1jrN@S>1TX{8u$f{P$y*saP zRR5RGzQwBmjz^s_+*cb2{e+~u|5~KF!%FkRXD6SoZFU!J-*Y3^Lwq_6K6rasQ_ua) z*{%Eg-;{`YyZDlZ=I+qyQ@#{opU2)_JJ-+DAGPcmJVS)|na{m1;I`)WH@NV5PUAlI zF(#`Gf>-)1C})kV->Kb!*@0ZYqgB|Y(LXO!5(9=UO4z1FLHeyU@EFMp$Hs^hn#m}poD_oW6vB;gvX^wntkCGs{dRK}AfJZ_3jP_zn^&ry%In$YzcUl+%kEQ@1@FIq$@Fv%_l; zO8HZOIpqTs3l@(QS3_^v31?wMYG}9vjJ^)?_H(t_c zT}vb;ArTg=-q}W2?yW>%d1cBREQciD_CcOEV#sdB7l6S}p_Cj~^JQ#T6BbVls*+i< zoCSj&g1Ds^F~!1mbJR<)D3VB`e4z#^zS$E~e06A$stNKWC9KVt(;g)NDgK)0a2n67 z_>fwQ^94OXYF=EGb;+C)olCcr4yYnLo>reNvB+@o&1Ke!+^8Lzbc&SHs8Z?KYv6Ge zX{}_e6Y5;R>CpA#GNKcFG8^QaX+7wtCSxAcoeYAi9_qvaU*?&VqZ>?>?Pv{c=n$Mw zOyIvpVY_%bIj|$JOtz;6{sib_Tpkj`$L$5|)e*PDpBq~>$bkp#YA2r|ee+C|C_oI% zQJi1or!dmAwMFk9yMnWQ9MASIx{&NUvpIa|muBnm9+VEENor?pO8U{LLVDW)-vd3; z{qrQN1^~Omo?a@ljIVv{i{?bs!b^P%vfH{i=0)vgl-nf=>j_{&{mBbiiPe7elkO>e zC%eBr==5>6yZmFHupbi&fkdSHzdJE@Z{KDX3xph`Xz41N%M!$z<1Z|%RbEVR5@Tub zm07#9J+Pc?JttW{_c_ZSg^hjCiidWV-mt}oH=t4ZzZeQ)tSfwVnIE!QE5gn*ifrzW zEV!dHo^>P=^7netnErqJ?5|q23u(39k=v<&6EcNd6B#FJyp11Xa6+RhhF!3F>#B${ zfilX6Yd$=I0-PzG**v<;coajihX9qPiaJ+McW^+r`hmoaN0UpQj%d znQor8|GevxtO0d@QJeTpy_`%}6@Tu>;|CWl4~gXS+(-4q-HpXRL}L@9?_k!ysvFM$TE{$_!GYPMtb zTy(12lVyAQg5D%$PYq3bQWo~Yl=V$*wu{`psGQsEzH99qS_d~taaW`Njch_WbcOIx z?m&vY!otpx1NjQ~xf3GJEeRjdpyyf8e^qD3m4Su#9)X)yueW`=4?W*9g zm*=qmJC3f<$F@-b%U=dfY^GX3h0^4gNH`Q_$zgjn4wbH0a|^X1DT6!-z61!N=T#Dy;v;PGA>1 z4}rQTrwM5S)hJBdH(KwSJG{@y8B9~8`0*^kx*j+jd?i4 zLu`zX)B*%%CJ#*Pwqvr79_(!sX1DFd9ugbZky>rb3~>a*usxa0=7Bq@t}(+jE}UW= z$MayCEjH_|l38bPyz#8!ai*|cxbQ5ADZFg$=X>sZYBk+@@A0Q2&HLA9Eqgpyg8UZa&5@&$Q#E`>dv051FP zI|%hN^BlOlM^+nBfT8hI?%zvh$By0*N#)WJlCXL4UVGtCee~$t(ro$h_*Ozw(d^@6 zh1D`r>QTLrs&Co@+@;CoHZ0LMsQ-_a&;Rni7V6Q8>WE>=iL=j;Jhy)+s<7>$+cQ9* zn0hX}JFjdS zp1i+*n&TiD60G#4;XB)uvx_rcMv||yFuvo;oGJ!DGcxvoPLF>~Tq^H0%hJvSRwG=`Cc%$!RF} z;zQl>TKLX2w$&rtd}U|O^ss-|-t9KBhwl3gcaL{v+cC`OcxAkY%Ifb1?H{OTw_l1v zeqL53tE5u6sAB00v5BGrSc6Z}N#RAro*-*H971s!$#Zzb`tICP&g3e!^2Q&!%ZSBN z902yj45A$?XPXzXR2xD0^R}?LP~C1v8=tj#p})~To%#QJILt2z{U)K`Mw+y7p3LKJ z)RgxGG9*X;+r@JG!L z9~Xy7E|~XNPnELC-#VC*nH(h8YUk@wj}7j!j0&!2I8ChT9^Y!d1z~|}lwA>j1Mad= zmV|ev=EpI61?9M6n4V|g%9;(d4xfDM%5tsm6%_I%o*_%@ki)5m z7$I$D*<=E7%v8#oEjgm@W8{)S$|)6Q(OL*!Bv=ry+<~Jo$JpH;>u^PRhp2MOP!4T)Tm96G+Ko=xw$< z00IXvDgtCNr$81_7b5fy8)iT$W?X#KAyF*aY$d>~HPDkWYa$B46uYPMioH#z6ST8? z>2lh8OYIYKEWZQj7(&^C;uBOKeyQDOB!lHaWF5iDHK-()a4&pFTNgaqih%kD9VR8^ zQ`U^{8U(5AO##77q6e?|gzT1qIQKi#Vq4{#Xg->bd-|4%LPA1ZW_M$HV%r3LhSvp>!^?+QDwD0qLLSPh^kl>MmMmkP!Fgc;`VBYj z|3NaskvYqS%3_r7@)~Cek6&z4yZ2{JA-h0Xbf=-pKJ>n@$XCH;7ZU0k;(GJDYV7j+ z&hHoL)PXEpd8tyB0=mHzZ(-%0D5zbSYZ9fQd*5Z_mmirgBh`HHT1Ud$-rxQb3uGLd zxOqF-|MdY3Vlj>$J!a2GHH@)P=g0OYNo$TcW7W?uU30FfLL765p%=N` zGyma3HgoU0W~%%CD)|CMrYr2jYY@*1k+-<*`LFom16SoY!^;OeJGd8+*gPG%OL%sL zD!k$l#fub`HLI^UTO7SARga3d?#^*g6ntOK9mLQ>9RX1nxLRL7=WIhORzHIAxy_b8 z(eGM~Ez?qo%Nko3rV-`@_;3Z)=tnDV#BKH`&>NWsOhmfMHcE3;*4Sr?f((tzImb-QOk(34yiaCsLz?z8cq{>H3D>A^fP2zLxUPKLlp8(0=JCqZ_V)*KaZV((sKtI6 zSsIG1kWDULv%&0fkgT@}(*=UOH@Up(I%T72-QLbsqmC4WS#HFO7QF|UTu1k4&Jzj7hmY0ZMNc4?*g= z0+%3*n%6d20m}3}y=Lv(fjVc^@s`)Ic|}2rP8YZ-Vp-Wz#MxE3#S)9*4ZyIICt9@l zBy;_|LX1v^L-W5)ox8dVEC&`lev)R9y3F9g z1KkcB+|I7=qc*dG>YB%C6RThoX28lwVcGpMZN+NEGx(syyt1iu$gnsCA;q1fkai-< zaZ0xqh0`~4C#5nvPWI%=w%l(1v8Ap}!t*1~;!xi{E?v%je3lxmdOYL-m-%}iDIU7u z``f%~t!7M{F^Go9|r-3>$~mRhBKGhAx#XN9b&Ka(siAQ}0k3lO*zcz!Pxv9B1%#c6f94G-!9iX#-PCZ94ckdu5h{o?v6J*ye3+XYS+)9Y!_S z6aNU_=TZY6dB02DE$j1)=w1i-JbFfFk~YYv)UXb!vTynnV`q$XAm1Cncj_pyP zdjUTU)%l+N)(WYu57!LjufGA|k-_y;@>-$Mty`<|EDhsvDk02z&@lv&6;_|+;kd^7 z*|Ej*4FXY)nhFTd-u)5SxSWD^0&s{0B@mY^#s{~XnnX`W& ze(`&zmN&P0;=K?RDc21l5O1pT!kU{SuSWbn`JLxXr|yRZ8Tfm4)}eb6rWDG|Kr6+?9of-La!J)&+vdC*y$ED8q)X9ARm|I2h>gH=fXgm36^%8;w_ zY1+mDE_UxDe)zyjUz4bIhYLayVmmsqB`^`K3J2fZ@$$&j|JN;Ygh6qS(2|X$`)z!LCazMLf20mkF+(+TouD{mpREKXmJFCns}AWDahfc6TlciXY1Dbbt}!n7}#<^MGyB66c! ztZZ=%Fpcd~Of-eSzM&BGg0Z8tNQT(M-iXgSd@^}flqsUrw{iT!G=`H7>B+=1Af+zz zTyF^0wg#sb-~38EY+@vE2?XJ3)s2Ax@1qoQLqP->O;dD2Q%JCPg#bkwi^MFZ0u4Ey z+?oa0+?JSc8B=he9nY@NR1zZNf{5o(bNyiq!THogMe}G#S4AOw?T_b#M&Qv&4M#KNEi4@v@UIZ4tHcZEVl8 z>9C?+5lu?%mM}+kID7_8t^8fC(ud}8V7r(7B6T*kr?8dx3fD8V(=l?#vXqo2(2 zwUy!A{?l%)JD8g;88$Lx#t?qDjSHHu?#_pbTy{KyMBcHMQ z@o+{cD(7VC+`Ayg*;UEDU&Equ|IkH0E}He}ZPha5&iCTh7Y~+uWEAq zn?gGFtYylwbj=l&y#CNkvH3x|5o0T97H}{kWljc(ezHP@6`*6MXPcsqxI(J0?wfMi zePy3_V9PoS0bXVRisRIdcTeARIRpu!)tA6D7VogB`f<$x_5c@ToCx;s2mQ$IyKMFb zyw?eKF!p_i`-<(7y}p{nW+X_uZg6a#;}bX^D$FVhmF@n?v6ao!&HpfvWj|_c9_fes zjqq?>;j6zMigWHtuBl@&9Ab70vM5+5gMwUBnGmOgHg%BWkbRP@s=x*Eze-KZBWHhM zWUMrdko#LkW_KJ`kEJ`*NQ~@j_TR86==JenhrWn*`~VqLB)MAe9tD_%DF+F9a0lYn6aPdMe_aepm_8KrEn+HhBBfKjyN;v zd$KdO8hzg~V###dv85alyQwy^+cP_gs0~#v5_wkSmz%dkJcF)jYkCanQ&@TdkLWFN zHLEen`2EXBIvgUql7m8`SxlVH8X3pZ8=NNb328!}T}q#XW|z4-rjgdMYsw;d0*{5v zJjT|mPiI&m)g^O?*A+s==3z6N&ojVS3m_{^8RKt*b+DXhIR)1X%n|jx24x^e8kz-6|u=y>*;4AIwXZW;<$Msm0CuieF)#{tc5wZ~}6lf$xtc155w%E^S$-1(;V z&ZiV)L>B$Aq!n!D_gP&k(|lpgONh20ojKx~p(*b~h8UO^jS%F!DxAQz()7IiYvk|nT`Z&be#`7@M_}~CD}1RK3@QB^ z|L|^0Zu{wg^&i|GHjP;b0SC*toD35EWQ7RZ0;gx2Vn!CJjLM;C5~dAZyp%Z*BKa_G z1ZU0q_6a-t3Tyo7%V6KV5_?p^`af4x{=2_U%6D7kr32&>o+41$YS#?C?0gNqOb~1s zd&J%LB;L{oiRNwhC1*7b4NhpXsXOc$%9k$rV3K-Bi?6Me zI6^9zqsl*ew@uHiR^mRSSa}6pH&B^e6z}!mu&gEFjaYK~(8*1Q)So^7ZqmPw@8v)B zmj3k_$u%PNFL1AaWIk3o7(0W8NgP`57r&om7eQJU+FL60L(~F4JDT?&eH$HMJ~G&u zQQMVCAA5A(OW~I6qfIR?wS)s!iDT@_#>}D&v%nlxuqDpT4g;a>yW7*hhG5NJB|Irb zoC8;cxz;l)J6dzz(_5#pZPn;>V|=-ntVP%PQD>VZ%-KvE)(8{&JLEO*=s^%=$IqVf z)*HmWu!L4)p+114_6pH{QkH=6Ff%bl11AD}QnGe?gG|ms z1Z%yiE#6;Y>PjiT9(({-8(NR*Zcc+}GA<_r%VT9u(n&mG1vUvh!NvzSPEy8RSCUj{ zgTZ7`r*)mkNS^2lPRsP;B*j?ntr@*j8xkEtB3O0Sow%#pHHE1wTqs^pc{SB^{8fg< zOQpJ*SwBuBHBr+oXKHGVw2ZBn3)G@2qnTlFT%{)&UW4xgqXh8cI*0~pHeZsAVu=o9 zY_07wuA#Pqy-x^Op&%U?h1Ct3J0K(&RZa~FbRt-=C3nk$0cHIqQz!US5juDwWS{d= z1-ruWF{qeoH^h{YdnI2GX%H!skYqXnG|v^I&`D9JoV`dQi{)14DGu-qG#n?+NsJCU z^l*9I5r?lKjS7XZ6OhPi(pE*o))9tbCj~3+p?##HlQ>QOjT7il!O!$eN`uEVr93=>i{JPDk-HM zqiS^We1Y|YttP?dRZn`bb%Lg9N-roKSYRiXRQvt>h;kCr1u%|wu^UvO&)6W=uzG5G z!K8KeqIg|ED{%~A;GPzfRxcE67YK=C3IxI=Wg~sQ*Sv4CjAYt+RBat7sRRLT;Btyb zl9bDhSWb)rJ+8Q|Q%I(aAj~On0vX^vh5Op+DJ|N7L$Zth;uKNHYRjDNv#aIgm`!gP zyF5rsKS)D45#@&X0ePTS(MUh;-)0=`(@g|LO7#Gw82yeo4IAT`De<1mhprIR} z#snyW6wuON#JtYi!4|z=f{#v5aIT$0eM{sbBV)1NpqV7q_${dNq@tdcc6)#@O-{O3 z^S0S|n>;8dm7%ym8>M)-wqv(vp4U?W%?4;25I1zC*Q_}VV z-ly&on{52D(P)#W_UtekvV7P+S-9+nBUy6b5+!|CaQWmGiZkOFQI9`=Znlg>i8c3x z+-^D8W&v+9SQtpH@%L62*QhQTxukRD^%=#mEfe&Ja>I{62V9-dC+9PUV=~#JKp! zm3X*s{(rraCSN*47vjOo=VB^#=7v`K-W-<6Gh<^b~`` z1eEKQ^y$kJAbtc*b!>nW2CYLm`i@S#|JM8`kQ2}Z?*yNf!!Cc_u53Q;J<6x9{%eGlK= zw`<`~R5Tn{)W^mu6UC`;R}0nrb34l#g|p_Qe=U_;d?dsnjZbj$&G!yZ@(ht|{#`yk zyWRFXN4LJ%R7^lQ=8u<^VP-d$-p9cfNoJq4TQ$ab8l? z&PiPKHvOyuDKiR7yQN-Mf7d*?I@cS98a`2hQeeufgHXp59Je_xK-nt~G6{laid9q5 z#sn-`%pKS}LuND#<{U#|RTd;ZpzO0i0FKNm81W_J($?|r%pnH{4JmJ!x#R}6C_l_7 zFkrhkmjVed6aUPd$JTd@Tb1D7D9po6kP&W#5itu+H!cCwR_P)G;>;7PCJ0qDv0$!_ zvSFc$r7IwAZKg~QHCEoshfBFOwS<3$A+lye=MHpbW=sas%~Zh4*SDyr^@LT}d$5IJ zc0LA^5sDyh1ytHz|GmXV9?@CBV@hVHTMKH6CUYZYmrW$nY9L<(41RB$uG6yyZ^cZ$ z6(RF7{2SeX1t&yOchAZ;u3Hy?pXHvLYEmt)OJWxBjUy)LFE4^HEQ0uwH6brIEF@(X z1ncDVy5Qhkn_R)sV=j*a@NFzlN1%inggSqj$FSl|e2)pMwU=HXsLVZJebC0VX!}X~ z?F&r9l}lLk6F+L}60c2ky63$yWD(a9A!}jpZ>8P40+|(1cW|eYWIwo; z#1z~Wwe1F*A5EuacU-MRX(r1%uHIVvY*r6H`{D$Zo7$NS6%UhwSh_*_g+Nv1 zKU%S`P@uXb6L3aefI1%?@Mw? z*A@CN&h4EP!7QO^8T?$~@4$=arLUodhc0ce#?LK+9PGP_zUSX2BM1NZk9^dj7k9-@ znjLB$*LHZxrsV&m%m2%>wTHi7kozfk%8TOtZ0c=<*hpNOe$| z{G9^+g-Tf>RzBU3V^J;s!5Wb>^Rhhrg?qLYle2f&)s1~==KPs7D@u>1CKTTjNkrBW zbiQ|HKiI~y%J0Tq4ND?V!f!Ce_UH#J^+POJUzFrqez2{Tq8-_liNAB{x|l7Zk6&rN zm)7)1dVe3sAGwzAqv}!FiwUm<4TfN~`7T^LImb^S7;SZ`ZjuYo#x!*#dCN=+6kc7F z|A9}M<@AC=h$LXhBsOuo?=}@^$w~#RE&uotE8Ud`-g}F+JWSvmliJL#0s|+7ZVjAgIQk z&|ELU*U33`T8&{Rk8K|r{DKgIgsyCiREv9w-T1Ee`x~ka_^uywz@B`n7#u}OqfLd- z=2L2_uK9~STyGT0?|B8h*X@ZjZP?DVCnB!{=a?E8!0YV_whfX{_v~Rb@^m&V?N;ov zBjigxr2HvncM$SY!5ZnyB{^JKimdjULV7&P(l@#^2v3 zf?$nv#zy=LgZ1=Z>!p=y!q_FI0m>@%l{9{PrfH8?l-GKNAVPU}TtTac()oUsqRrb! z^6EGH(gp}l#z}^Gc>Z~MUgE}{x6zkxAIOI4U#HuGJaz>;37YUYhPg9POD!cA@Liqq z8yiU+suFB|$29w`)AuE@$_@W=AX&<;N@Gs#$j}jL|K*GR@)cHWm3`Q6+o(4yMLKd; zn6$tBEoQAKebi7*#j~GnvehHx**=amP;5PyDGE|t;Ig(tOx#MhlPUa;%hRYbH?Y-q z-ElYTu=j5+Um9*{-{Unf>~nPjNDiLo{PLl#ZWrNA{cjIV&|5iA%~uy73y!ii_J*6D z_4f2n1}26OEOmHVdU9v20r%?dj~}e&UpT7>?>rud&Yc-H%&!0Nt1cXFav(RnmVR}0 zI*wJg58V|=)_o`2GNsF3*jdBB3%3_+*utisoQx>xp?u`kMPth<+=^X^XA; z{o&V;D8mNYDIfHt=qxnYMGK%`5^8KbS~}m5JW~rkU!!h6pxRXcw)hgkx@&1W(EmiT ziy&r8$Ikb{>hV2u4=>N!&UlMwu5E;2Vh-Wj(AxBw!8bOFZT#zf#t@WCit8D2^NgLT z$c1hQUAG9HJ+c;}(b=p?yNV%?P`1?3X`>sY5YxJPr>#_R`hGg%xM>_nz)XUPhzolc zaB}z3P&vkn{6RS*9cpr&J3Q3*8->*Ou%7*>vj-fJsl2VwlB_} z6^k(vODJ_Wq(;Q4r0P)g1i>DF@$eYL16)p?mU*fM&n8jO&1Q{& z;*(iz@jeTd^kMQ`0*__C42fMsQsn-b^%0Z92B1la(}8azabAc4BX$WfnUNoPJod&bJf0yHS#^r?jgz-WB>Wwh`k&jxx}rxZfKC2 zP1}x*Z#fk= z$R8x_!^&d< zl*1)UmeSpid|vayd`bR)J%=*#GVOXdX1L$TWL9x>dY5_Lkxx*+Ej+m`&4+HbbP6Rl zT#JWdd)e_|Px5?9+I3u}5R@XG>r|Bqc`Do$QQn0+gY~^wDp;uXv2VyCXXCAJTApA)4V}32v6teXaCn_2X&^$HZi;#)0xe9-8g0ZS z1jTL{Q?h^oXsYVW(m0}zhz)K&YCdbyTrM@*n$Wm1nEiXpSQ%Gj;kn>ql5~v{q`rzO=YAv4u>U}L+TmAS5+9=ygUZ7jC(szndfd~T@F$k zmzR(-6;ap{#vWjb4uQDP1m%hHL?71_m%BC*S7024ZcQz{N!+`DCv>B}^ByfsavPs; zc;BSymz2(G9fHwi7D!xGsTI`ii6W3C|5+iPCQ{QvheB-(X$v-So zB2*j%ds0IK{`3H~*MNMM=aO`B_)srz(K|-*tD>ZU?LZuup~@?E{a!Q`YnK4%&*iT~e3J0KzHxq-Ce#~1lME%j{o3EEL z3Eu+@+G3;%G#Sw_hxh5WM`eKhT+8Lyu#GjxANua$XOm0pR9gls#f`6F;wgy=$|Cs@X40ko#&L1JeA8j3?xHLX1VYg zjNm($dUi698c}`qV`**` zESEJ<|Fgf&gvM1dF+4c?%KKc*&r8#c-*0QA%PbL5P6ByjCUcQaWS!tRj&8>{ef};~ zeDp6L^#w~<`r?}H+aQA8I)N(S5&03S&&6>a56|C?Hna$r%;50_t-42F1b3c{ZiSd zj%sHBJ1dB@fA!$Dgc&Jo9B3r>q?MtCM}|H@)c)kE z#B*j)(P!>fqOgfxTK=6?wzHk2kBwE8f8mYF(vdq&rk>%%$x_jM%?OT|# z+ApW;(ZbsUv^E&KK~0HvrMbUS(~Xnv27 zu;k!*FDGHJ2WL0e7Q-RYlxBl)DSl4)mP^vq=zHc7gj<9NV0F}j`gO_vc@zb;(88|@E1p@B$sNy>f{suZao39V-o^hSCs|K^%T8Gc=DyQX}EcE#%qiJ=~<)S#N$L(nby}iF?)wS!i5DMUL@pV1Z+MoGw=x4*Wn9`n5KxflpdVFf( z(e^k{L>mEJsQD#Z8kf8K@o+OeeuxFlontk7uJm$jE%(ohtTVtVjQ0o4dCk7-rDUYO znHF&O-Sp0`+f&pk=FZZoKJ*|c*v^i0W`-*gKeK~cdlGZ_%U}1i`RCGn|6_w$fn0g$ zyVO%A<{edQnAnkRG%E@SIKD7G{)FyJ~_Awqbr(^JrcD^>Q`gtM=n7qZ$a zw5X${OFs?IWQK3t9y~Odrn~pk=oq92&+AiO>6I zdRb0UNYsQ#UJLTyIyRD-RRx{%&~?yv??4=*n5nVgchFqJq0cf_oo?esZ>^4I_1zyoXLW~O(z!9Z&&%>hu;mm?CnjyT39AkVyw{2UY+mYzVvN2c z1)rUa&-RU>z*`5d%_^zcQf+~+2vQ#i!dham4}Bg@+42X8SHR$CAJ>!_i8s2bpXs@H z`am-HS!##6hT0%wzEm)M0b8sF^y~x* zvz9MpBl6IlatljbGyRMy7>g^w|%8AjB!@ZtN`otyd~ZsLWEU!>7^Y<57H$BqgIc z_rX8&#kW@akSo~W*|D;CW}$M#Q_yi1YoZV{h?nIQg|vv|wlRb%ZhUe^74~AAEUH4c zHB6QsNs6?fN5#|=>DCp3*^j5)(AO)DZ~oA$dU~29K*;8wFBV~mvdE@HpIm8^d|z%} zF5Mu{=FT9UZ;vByQnF&%%CCAR@RV>*_qk;Mr5TN5MpRr``CYHp@T~zSHX7tJ1kRew zLaj+Gt;^Nnzyks31&xf-qe81?qC|9uTj1cj!oh{pvr@i#ka-RLzgzOPhAJI;L#gK( zQ(MoDkVc6@q;V)Hq3hhN5rIWy9LhYiR+7yuILBR$5DI+@K*|tjo`Hvp8fhWC93y~e zm}^;LQX$)ddN17|AZC6;>eD`j5Lh=J#fx*6&(e3#0~23?_@?O3hi=T|?isYCI>aHw zY{WX*1X$(#Ij(d+H$^C8-s|Qxc+>pjn+C-+aFN_uEPg(*r7Q}=z6IJ_k7GRC*C&CY zpV_fEEiKU!dI}Am-GExHBv~dRelSHfDF&vy-84aw-Rdw4nhos@5>zi$#92?}Pn#E_ zkLiUWIM;@;y;JD2$5;1+2L7$dSme+U13x68;Tmpam_rUw-L6bwo>{o2sJygGeP>T zU#~Ix&F!vnj`7?~D*YD6j4Y8r%u%78n!P|KYQw^#Z$EDK0x^u@1@1o^SjJOVfE2+4 zQwhm8Sp^+s{Ruxc`}AhLsczZI*R!+2&p5VfR-?|Iz*!ppJ{CF(?WGceB;9|W!w~bl zZ}AD70%v{37wMI$UIzrxN;)S40c3E@u3xRNPxF^Ep{nn2)iEo4!w&2lvMWYZEUf>Z^n0 z@?7mm5eZMxmc~fbYDoteQclQbu$;fdQRNs8@lm|?o<|q zD2qS+mi1LJghTJ(bHsNKRay1R{jry}Gk5N#k5nyRb7sIPwqp*9ofN546e5a95)H4t zt^9dB(XlAPK(O#x`Hmn%w6yXv8eDlb)R?29Vi-P0;#b?X93;&OPN9QQs-;6DqyBZ;N{cx7R9Ic^3Jnd74BIwvm; z(bnY#Weni-WYTjB`r{BhT9(^5Jw2m-scnKw#omu&XpO)yb%G%FeXy_JtjJNGukI83T4CPOVCmge*tg~aIr*wnyo9tazFypK7S|u3`pseU^BO zl}bxjpg-r7Y+(_Z&xZU$E_{2$V@(8JQd{oX?&)1`IvGP0?$BQ$imai&N-qgNk!(&B&cz6~XEo z?Bd@UnB+==8Y8W^HN zxgkRz*AyXmSpeobaqumNAdD|W8b7cCY4>=U-I3T7UOjpu59!FqB+i?*gH6g{12L88 zU4aQxuimG6ur<^YG-Ef4)D13SO78HPA|#(o#jG34LJ;$w6lG1xqT1yESEz7P=3V8o z0V>HWctVmwLZHz>haTzx#g@aWvUy25SCWdZBh;hUcCeuxtW&UU?k!$A>ajZ@c6lbG zc!jknl*co!MG8L6#EbMb2THEuLKJAqxlUDxT>oo<67j7B_f|4{-MkdKijuAfzRadA z+d7As*-k~TVKy+h*WxGEBfKnYVXt@D$lNZdp-oU4(zdl^=iAoOwQcL^=|ojlWmF8Z zsFUWj9fGEo?%!d)1JG2>6k80J<1kN6p3!nOGaOt}Pwfmy$Cy=bf)kIJ2I&M=1exLy6+JVLdT&ev3tk4dscr~+-Bt4X-iIG zb&N4s;d~Z?o^KxLphR&SrM@}irxl7E)_`@W+oHBSsJ&CG-eiVq%HV3h6aP^!D@~|N&$tR=hEdv$Th|@e#cHtP#(%u3| z;6MmX3UE)HP3zQZvwNcQFmwhV8ur|tbhLSKq^o5|4pE-BXUq1@n7e!uZjbz$o%x(_ zbsW#LY{e&i9v;oF#K2U;sd67qHC}3acr($xl zJV~#4@27n5(`QDx3A|QSnIq8T@b82lao$WGc$av*nrunuw^)!kU7=r@bN_YZ9W5@5 zv6t$ZX9vPPXJ2F!lT0vBZMmt#x6C#?!c2DM20ug6|U=Kwtt~s9G;LNEe^lm%6JT!I27|lMW(Wey_lLFkEicp{HC6f|nY#t0>DZZF5 zKyrjkzT}Xepe9vnJXqMNMpfB+lb}%_oPt>Sw(3cqQOT_^BUdh3~@y@76;zwipibAuTDEM zL9m`gss-yEn5wZE)lJGurYk54Q6s9sC%M*uK>_M~E5J|2u$V;LmQ_%F?&~QDtnjtQ zOMCz~_q%ZfZsYa|($OCR=SvzncLIrdoqvHtalUcpV!=m~V(b$C2>5*=WIy0Nj@6y^ zOpI$MMlHA0Zs5Z%x2sP5`8YSNuA4LaX6_oOtd_pGmW5=F2yUni__kWa}QTe*9u zoC31&^tN25>@&a>9R1LHl+mY1`B-E%O7ZN zE_`KsvQ+(licQZ@Q5BDV-liY>yK$SJzh`-J_XiiX{t!PC+>M`o`-uTQm3+%W^5Ivl z)>KTWJoP1YuW?~xna&4TWw5M{gZ<{Yg(tRiK^7tvV`~Y9QxqZ!fz$02iVwfIDG4~F znbKw@iKmyT;)Z)!CdB>Yw+(Zo!%9}fx@Oebu(RHdnGDs{XYN||BmOoUv%U`b?(CRXd<*coiV_(3JD+h2f9 z&S<0y<$)pVXijUa+3o*;zVp`!!g4w3NCr=BM*`{7RJYrF*qt8|p6A*OE_A}1-d8LpUQ zJi9^qZTXO<>iw}V<23OsIpgAeKyMMKC}cwLHwm?t|143!eX!lmE(DofD=1X~%h&^z z)vY{z+||L-IckL@6>T?w@4Rafxersrt)%9OpU>(t1h`Y`79)j}*8_x@a_ZeED~1W= z(pfr^R39j(8f-CfBn)YykMG6#^cMOJK3FQLwY;XY7-A@ddlGoC85l?0bf@#efoM-* z?hZ`agnQ{Bk{vBedH+M{a5m{3d8Itz74v6rX}`s))w2;sSw*aZP6=sxSZK@@Dxf6l z8i;hw^5zUf@QyGYT84N+Y0Dgoq8yf*WD_Aw#hm?#}c)lfZoWljJ<8! zEwQ=WVG{<6z(;d5cDsp^)LWLv#BD|>X?d8BpUnv8+A#vT$mO}-0yuDKoR{DY)?G$< zD2AqMn&D|G>K7B$XshSNpRv`4aPS1kTyr zHL*EUx7PvU=tVEKWQZcjvh{LH98a6S$f-dIbN);ZMIh^>6NGQ1Q1a2c@qOk+wu^6- z&W|Q6oeteytRMD>!>QmI)A1HodIxh7xfp! zfJi|MD5%#eMED3!_cjH(_OUA0rl1B6>7!TK*k!eG>+37`9WcGIvLGLD4~->?+t>?s zBXd+E`Xs6Q+K~zsei!frhqfEBoD6+8B+rD3+57(}f2jI`B~Rh|;5F~D;)=gZW>qQg z>cWo9&1gjvPls=z@AOh7x%tauS#|HLRy_6W7g6Fvm7-1`OEAe5;F;g?J498Ol#2{v zfolVm$z@|$O`O!1+VMHFZ@eAjSUHGkd6|mO=l>OFs%3PvM$HFd$x@}|hKjkcgzY$8 zt16kYxJ>Tdz(cT?Yc6MOl<6EpcGfF_%M(}mJQQXhpeVzNhR(N)u0|1P8j?Db1v!#GUFqQ^*pROuc}(FChOZg&Xyq+DfAXC)~6z$S-BElC~P{t1|gs5EoF2C@r-Yg&ggFR_B`lHC-W0QTZYLz7Q1;WqGCK`F9Fg}n%;stlA0$jY5((AO~#xeOdFKL zZH`0gK=@hvCf`W)qZ74!kcIDVfZt^9-JG~{m-Eqkcq#vtg(KHKsQ`xDK1Z}S*`A~C zpAI0pgA>UwnDtF}+K%F~fReKL$ph!**Cd~q#!26)e{sZ%D#BfTJVsiU-XAT6C{G|D zSKxB}!R8%?MQMVA`iu~R0w9*=xT1=N?taK66q$v|7Zc_Y*lIksUj$tt(Dm}_+60;) z=l8xse{*#p_SY7w9I05@Gqlvm|EiZtsufoKAvD$hK#}~bS6p}s= zuO8dWLg{yYpP^272nYMN750wLb09Z;gp1$5)2*m}3-YNixBIXDk&NDP3&ghgH=lRG zV^O54T~sErjo}9;j$p{y2~U_0h&apVlNf?@+Ym-Up)a$)_Qe^Y{~P}?W=!lIU;t6$ zR}|?CW=95QrfOd#VfM|#o?s8f@7MXWohSL?~dH-jDJ;NL}ooz4D z`JYy%l=3JX;le=Rlp`;58^+S5^6-f^;!Qmjl)~Z^J0H_Eu(C`H%(om=*_Q=Y$pqipE{K~m6XV~UBSD^TEc`fwaLYF864gtvh_s|iqr z=(xml%(o0Y-UJ|OjpG{PT-XCr{MXFIzLMggX|NcmYO!e8WVKml%na?OifVVD&v7Jy zY?&)_EqZkv1wMt>O~dFiU|SNZ3p8=}`(YR+MTx<~Yi^$`K$TI3pdcy@1$ko{rsEcc zh<-CSrMW;eRe@(f^wenmaPSonlV#ADL`p)c98zGc>Kojz7^oSxr+-Wu5^GLPb(sEw30C5EMrv$ z)Su6UCz8edulcIP(JgbAm)H*Xj5;J-!B>BLajVD_z)g!yMvdXjAjE8Cwa+mFhViae z6(jUU;7B0*r9FSa&7uJARez84`XLz0FvyJtkx|FIm(p&JAUl;b^@ zwUrWNpU3XgHMJJvNHV> zMEvS`u{u2V%GG&zdgr2zebO&@s4_{bOdYs3P?=mhfopAOT4>S=hyLX|rFqRwGY;jj zgJ@}~J4n+U>`-Cm^F6-h(&0gcXGhNue7SEqM6&O0&5y(xiq*&o+;eRMQ%_~Uk?{1l zsj@_*#(Y<6S0#kQdn|eWT(^mRR3yhfRj;U~-$t8X56kwSORie_deZU!YJ2%M#P(;@AlH@@=N4RR$t09PxtAY{^qHH(DYT1YP%O9@FA0&y;6 zWJ}ED+8sRA2_p|5xkJ8b2@Y{8BK8%O)K_!Wh!Kw-0AW4VIH%^}#aqz`h~><_5EX)u zo{k|T?Eo>JoS)u|;$W*HoOs&Q#zJYKUhyOsTVYbgRUlOfQu^AsECyV!L$xd2{zV z-qHKQGOx2COLOp@%(XIVaocURtQlfFp>kYyBUjF1miMxC0r*wX)CmY)aRKebr;Jye zLq0*$)zm<$5UE;yi)!p8m;S;mPm~|la+Pa~UlP3O`wuF<7WU>%ds+$2Tio0HVY{%H z-eP&|e@m| zFB3(`4I!Xo)!6CtBNS=#NBZyCwv^p`WxFN_9MHW~_7fWCjB5m*=k9HUdTtDPL%y$Z zP}cRr$(LdoxT50UMTCyc|3OaI#&+%h-_OW!$@(wPtp0McEak*J!0YPeOVAwAQxtn| z6;&5t95(bneP(`=MW#SDwDfFN73bxNj+{eP%L&}`p*x2cn&JF7LhQ&fAh^fptzS56 z@g{myzWLxlIPxb~+Ab%5U}l>?89@7-hpLaW(Hi*&&*9se^t^&7l)Ib^1gl7$tPnYf z#OZlD3fIIT?D(87j{WUFcL5Uqj^mHQA3V0pM*A;n#P#v2dQ@S4peAPN$N%%N$KD+- zfLCl6Bv}2W%2IvL&QQ~9hC!ZNvb*JEOH4xHIzo78Tal=e<}?GBZ2ne0boL9Z*MMj? zL&f^PdXCKQSfi4vmzM2)IgvhGI3MbwtOKxH-6-xk*o{WWWR&D&k( ze^FVRUH5~Hf8rEng5I1c>~0VRjD!m1owGw(*7)go^wm;QDXp#C?Qc|W=mw+9FNGo! z2Fe-7%r}R&SK7OK$*SRpakXdgi4Vc)T-#?qoKkDpBlHrb^6MLosAM>YaVsXMn-*N! z8Vkg*a4Bn1P697A)=dOa#iWoBftJ0_3d#&o_1Hn2fP?ETC(y4k9ar^%82JP6brMFy zUI~%$I}5 zL($az)`aAlj9xyT@_Y%s3~gdtB_Tu=*%uP8ql0wJ_3{G2QX@`_|C@%E=+47&LCpd2 z@phEbkuq(?Yvo#E;AKy+Q5cV58B-HkLr=;XYbcwLWPCj!$-F$ITuR3vvr@PE^40nB{Pi4m@H@e-D=MJu z;Ri3b;^8CHO9#KRm936cCCUh4|15$?ma~35vnsl7Q2+2}SR;jMfG_063C;y93B9vr zhk+<5k3;Qy*Rx0`AzN%mHuLR$CXas7+e4fh{4LzR*1~9I6YrW5kIQ2@`-J1bGs<1UoMi$799^^|LT=V zYsv?0?}S6i;rp+Ih)MbQnX5lLtzf%D{qXRFdYIBfrg^14WSLTn6ky6r5b1~$7kWSO zDRW2om2LhV`KR1dO&f_F+G+N8%?0t=hrhE(=c)z=_a()lUH@h=Q|HTbS<2PUARDNx z)Q^Zy14FBPRDR*DE6+0N%?}WV*vyxrI3K)R!zm?QHv*>0S)VBcZ)%BRjfzB5m@z zt00z=()gzCh#lspkaGM+^DM;0mXL56krM|k3`HP4lq98jSr16NgJ;T7R6(~Y0XP|m zVEmRDhRmd?8r&Vvu7C{F4cH-;r^ShL9n}*$rl4gKle)w|TZ+h+1G;kUg+N?%x%kIS zc4Zf(U{;@RS)gMVm$3qv?2Nv67*G5pX*Q!Mb^{Lq18pX1xES2Is2Bw)7it$rC$a_e zZ9|7=z%9So>S&nWCO2!4#!`+k8=KN8l#7M3JCkSi?m`B6?g({UnQRQH$3Wv>#7yI` zfKZwRj)%+xc7f)C%wHp88vLzjZ?LCaotSfzNG_Nh=ayh83pkd*ARxx2D)-)?Zi|_P zTk)3Az~s!o@}d@AR~$EuGCdFJZk`JWJtb*LyYbz{9wzPzL5Wc&btX;mhMuZ$2_ZWV|LDN5J^zst^;XGY zgL`8u3VvbcsmRrS3#tZjq;8MuN*>=lDP83(=7kM7oP?fdHnCYe@pkIA%V-VmsigVM ztW5&Wb3INXDixKdZcgrE8`G*@fOvf%$-KOzT;deCY{$iULL2cw=-cLl+SHl(3hJ>; zALjVj-elsUfvrU0$kH3_p;ruPsJs(`0>Oq8%9p1Y<%V$Y~f_<`h+10|#)l`L7 zrNN=JaOlf%xSfByF%m-|pw7_z+Me3v=IvhFzwdqW!MBGU*Su%^W=Guk?1ZTl1VYjY z1LHb2G5f-&-HF&riIA2hMOTmKbooVNGyS{x@>`#;{% zkq!}eV2Kd`?V3V@ z5XwWR11IEoLxBN!3l?4y8(o$)9?c$>fqpL`t>V7=`2|HePoDU9l*L})XeG~@f8LV7 zpDoc!Xq%c?1N&XaTlj8|=VGr3L7Ag!{Bm8)iaXg10Js*1B0L^Q^8xw}y#g&vCsOL6 zw$!YUrg57F^e)F&F%<)sclEK3CLwMG@cYQ;Qdv&`;pWKZgX><+F;&I_KT|%_6GD`T z&UaEz0%Z4J(pF8AL?5Liv)J-TF4`2hg_gaCN4F@YbU&(aob7|_WSLQ0Y%}>?^Plh< zU1^!j%s@kKKG z$6J1)vOSh5u5m6xtS|6I*S9Ni>3u0g}#S1+CL|Rn&>FwJ5SGt1|BuTuo_7Q_lxbW2l6T;~AYu zgvViIy+g!^l=Z1f&@qS(R5zf^tqWF1KKk+;M;-at3bsw+x-rAOYNdSYk6pn<6m)!~ z&Rh(NlrTOf;@Q+AtLECrN5)|Pf8yQ;x~{9f^WEp%Bk4$%?IT5YL`dQ-MFAz4L;;sc zNl5mUl|%_Cy-CyH76KP9Lk&%5+?foRrqHcxIf#&?LV6G;IcZ zgt6W8Fu-;~HL5}xo0{R)H5$B+yuLief6N-8!ut-dkCZ3jEu~nk0i2oRub26v8l&C| zD9a!jH@MChUMb_duPNK?c19FPT7i!&+Z$E=o(x`=>m$W0PJ^V3_F!DvFe=_O*;+lF zO&RXdiU#w#(ZDTzIt=BpQivw3T;+(kA`~@%&^aJ7P+)6_PJZsezzn>@gmH8fC$@YC zA|P5>7Znf4KBz(3R%PwOg!O_dThoNHon1vvMK8dZNCP1ZXZonQM-WZhjXA&_-I-sF z+|1Wh6y+lFI;J()79^<3sr;B;J*_zWBW#t$(jnO_mY^Pm^GbUtaNFP)i7U-#e2u69 ztTEo@-ZpI-X|faAGRCWuJb=KK9~CAYU?vv?BTf*iA@`n}T_}NTO5ro$A1qT3Ru$1( z{zlpKJ-vB2GS_H#O0YL_<}05>uxtE?KNKx}8<-QUX#az!Z5FNDJhf%Sh7U6A?Qm2- zT^x28PZpWTS^<~bZ?IZ+)C1+=YqN28yu-v_`Dd1iu|u!l6p{Fu{e7|TLUDgVfnFJS zkxLjWPlS;IsAiL>iY524N4hw;K_nUMo?MsMPi!*jf8{nnPkCbAckutq{`RN;d$&6_ ze~X%5Yw!{-#nS$w!@`DQ+A=Wj@%5{hSGWkl&6(P3TeXX6ulo!!=W#?{UYA` z%sEez#}9HW_SO$&eLL%y<=h*8yfK}Yb{k{AXWZxBQfo3^({-O4h{J6n9(@e+L59fE zAfoMjx>vk*zo=sVa(9^nJe8%=61Otk@!9^$v+lxbw)y(bfhzj4dbcB99&J~Syv*@Z zn3%#~r)_)~QwV5t(=6GKrp!UIlO*;5n{|PbkZRv?5&u%h3 zhu;#<@~Ic})RWxByB+mM(*cLs)OIr28Dn>1iKp*z72Py>mSCId&`D^DL4N!-Wh$9j zJZ-0Icv`v})mDcEnIAv(_y{EvO56r?hH}I?W#Uy1ATH;wUT>J!#9(7}#WujXOL<=L z45r*bz;4D*AdFo+gEMv%eON03_L&I%S@Pz4P|k()jUpA6x%X))|H$~)1Q z9LEVd;f|?StCd4Bl*AwrryZ~hv$!*yf|fo;Fdk!geHlIm<+Q9F6s83@o;p>zNgPqH zj7s@?J_2F!kvb4F5)RRo(- zNPx%%gpg|jgGUuM`6#4W+%?JZ2c21qt5-G^AzFcD9sF4*wJJSkY6f>m$t;HLg&bJW zs$Ttv2}=C)2MOEu5v|vWWhewein$!>C=2{pGEI@CfHuH~A_Sf)hL=$WK`|DOp(MNy zRMoTwCo+0n_<0}aty3LD2ogJGk!eF6pwC4M3Q|vK5A5n>V&cr`CzIjIpF{RshZ(&~ zS6P$mk5jf*v*a1d)*%KSW1|BP7}IzQ7mqx*X-e2uki{qBFg9tlvSxnB+m7mP`EAdfhyRlHmjh-LnCaA z5&rj=n30Q4X69(^W7Q2eUl-Lu?ktx~#6Zh4li}bs0I4Z$f43dGe$JalxyuRB3atNw zu3H`1#+KZ4Tq6v2jvTaZ3xiWlOwMS(Laqc4+%Q_XrqY+f%Udoc((25n@X}jSeRL(f z$V5JU(%pF;KJy2lyipLVY@4l{1}X&rQb-e4q^Q#646DJBYNKSX_{=>V(Le4&3JR6> z-fiPwKNw#r?zCre(ZaF%VprejC${8!4NdN=xgDLCy0D_EHM;0IH}LsP+Goy-G|x|# z1}xks_dFH``qkJh;QgDv_;K@~g6P(b;igE+@M_G#jvGu|b$OXPKSj@c2~`bsCaNi8 zF-CE#t4tKDf(tRHSmHi==|p#ZCud9ZBf8sIr?}b^G^{;0hMKpc%*-iMHxpa&zJFh{ zxhrBd@W#JMmxQV+^&79fi-Ic2?8u%=j7F5n@Gg5bE=%#zvte_CGio}g2Iv-R_7enq zjni#Gz-~KAdzp`{ssFCR=M7SGG(3f~loLqo!1O2$jE1+tp3!j&( z2rG|Z2IE;y^nr~!jP)Y{-NItOE;|B^72OCcM<)%9Vx%(O_T+`}xdW;dg!WEnW%(=l z_?`696vN`4g9dox@h_r^m{;sHIgp$oqVl;`mG^WbSw`1@>dBHN;QUYL^oknoqrO2^D*JyNs*-_L0t z4b)G4LZQ5lq`)ZqK^X8&#FhYErzLpfZkidXKVNT{`6-k5K^|d{3+A3RR)<$7M|{J~ zQ`kGpEakh~lQpCXEd&)CV-;3PiCV=eb1h$aPa{o^?(Rxwl56P`AtI?UB$-!JF^}S! zf2ktw+tO$5d@dUB-|1?j$0Vler`~46KAj~wdsOM@26&BQyjlg{un3cd)Z{>}4VF?o z{uC!po{OATXYu3z7>!rea^S@p>vvh&pcjq@`10jp)T-}!nWkFG4?^cTKpN`GE3ah= z5kjykg@=23+z-R?UEb^0UXVW6u|Dy=cMYT*B++Y9yt}9;l^UcG`tV~fMgtaJ+|^`u@BH2cWu`(?+cCE`s}Ng9j6XY3vit(y$E?o$ zmtKwzKh?2uNVT4s&ANm06s(Q0rJu92ZAcSbd@JkecruFAE3|GB z9QbrDsd`)Dwgzdf`Bv8>A-09(%(LaxvO3fHi7DaJ6#KfI-N`r2n~~MpT*M# z#8E%$$wFO2eh2p*EKM~GuK?M2lVoZ13V!u_OQ|P_bH1*(K6*pbV8v!}n*%66MzDzP z`>G(%8VU^=O$?!5q3<+t1;1Hby;SF1gv40(KET>;PEDxCnBkTsOVo_UjEA_XDLtf_ z5sX#pP(#8XMpLE`TG_HfyO~*9jrVwCHiyVzq#`^5n{tBdF*IOgHzOXOZtyvG7!?b# zhg&j^TW35J=b55yO&PUYi#E@*$vW2lG z4(%_hf?5KEJ*P;$vLWIFT@$8q-RvI9EAX_~764`DF1Gxhc8F7zmp7^7 z(5H=g=N7d7yP+Pa24}q1xYwD2f0MeU>qS8kjg?12sj3}jvZNz(r_7W#N8--cg<7bV zFG$Sae8iObMP~E}ROVjHdp@^?Z*a_+_|eO6v7s?2W-WM>JSt=xM%%IG9@Xt6NlTX` z>EOvI^^n9}-b}5rU| zuJtCIxNep88WP{mc((T4P1T9j#Oic z-ItJ7yH?sh+jX!uYd-fR^I&W6l@HvIOf<87mQ~QSg{PsW;zD+QuKvs2={rvO*4&`j zG4>@hakSPsICb-lwtVEpDB6F;ma>KfuN|;SR#aj3cn3dT8vLnWK_9EDY%Kx2nfoCR zy$@bt?R{6zNPaHc|Ft{?lX-GV%I)+4;n@qCj1JwBT()PUdDOqT^{~P@Fe>d0rkpd> zNVFF%72t5~m;(MMw1FqNj6dfajS|N7mF8wiw;xAVJJ8NL`B6RP6&9fwcg-ppR?^^D;GP3qy}Yn+r2WikXM}pV=>jz+z&kFD zrX=PY{9bJKs>y^OS0%OYUw$l9q$pMcM|%oPU9aU^0@kGerF(Xhzs;I>B6g|mx2hbK zgxPkajlw`_Cmu3Y61e1^C^PdnNEv+Nxxre1SQhclA?r>k>e8OH8udQOFDBauFH#lG z$|EIc72p&gi(XZz$3&a2kKY5)v9A@&1G>J^U4z;Dk@47kj8|CMOV0eY?+jg#$Th(q zQS_HT8tE%(yvKSMU!#3{Vvc`29+|wECACW8F_RCCwzcjsmgRx9q!=0c4YPCW?(wWj zvBvd~H{TuR8&=F8+yPMgH79v1tn*havV4{e%_y`nIc*E0B zAxU>UK19%ZC1ojK9XSPEjtcYoj~ah3*ytUfHWN#^6L%&sIVowPeXnm4y^o>SSv>W~ z_)!XV+`&s<2G-9-TGzWLDTVZp;hGKC8&j=to66@zyVAwTOhSNQKAbg4bfQhXJcVK z8Tf>Z6w@{!Z5rN(XrDadHe+4moJ6;wp+#|L#XNG+@)ES2N0ZPsJ zG&!;Y2-(q4F!Cq5EM$8S8=cPNOGHwXn7tmpQ}9#rS%)6QJcNX41q^V~de(PEu2E%Y zgiy@JOJq76-W+1ruucEjx_yW$et}q~5c83kwe# zX(+^fR~O|4vnrq!TiF^GC`tNAnWq0J8#sGAW;z?&9Rq?+%WsU~cZiP~@Wk1{_&3ZH z|F;~zUX|$?5ndPymrvA&~C8^|Cl7LW_^dDKJUHl}b^3 zW2BQ+x1Aupin%sKy!C@{oNUwAoa%O#Y~MS$+w9`(lZn<{;?-O1!y@V2Ht~kFnGuVo z+}+nrP7KNa#tn_>Kl$j?WEoyAd{3;jzBbVP+v4I*Jku4Yy;pL~dM29jQ$77k+i;4L z*lK4UvrHih2RMU5-2Wv15bRdNmEj-2U#GvTF&| zPv}4sWFB*H!<)O^m;!K5?)|WP?|bl<*8L%iF0gIEj*}zlAFvkgO}3f-FTWfm)BAgz zCcKf}-fK-(6>DJKr8XKo^*ZMbq-s!BSj9C0 z$Xg4lyx`&ciY(Y4$;Cm2-6 zf^E*4fDMY51#64*0A>UeKzme?=*v_QGqQ<`1y~WkhGV(VaneFi<7F$Wp#e=Eb_ia( ztlpriBxI|jpzT1IYJ8y7ed*(*R8e+CC2ud_X>*pkfTvFG-R5nv!=Dj-Cc-=6$UtQ=k2Qy=X8oXfuL!}U&Ud#3VO>|@y{mNW zX_n0b5T-6HOi)&biwfp?^z)FO18mejg>lO`WR>d!(|B*8xP{pG$3*OYyUE=BiH)AP zY$0MTPtN47>xc6dP1a@OlNb5ku#rHzPM&ZDoEO>X7pg9xR<>N!c*=#Sq|8B$lQ(3p z^b86IHkog|&QuTY4VPD#C%Wop?kaEXk-o!Re{_0b0*h5FFT4_1d8Cc>r-{_$sffJN zJ+8m|I*ViUl`ci}s5N+Do_C+fvUQg@v*VOE&m7pSe%cy5BzN&aR`VJ>v;XJD{*m6h zlg6$emf~v3+2I|}G_nSpa8c*B_6EQHPx0#5!Ye7Q=N8i4*{9*{f#u{dvRuB!^K7?KU)Jyhd8x1GZl6DM(-PwzW059)A$i;D%Eac zSqvc2qRWG93CV>o<-drUz5RZ_^P2j@-tX^;v%#Hi#BBb&=^i>2MpHf<5`9sPbUJ1+ zvU!^eDlp1nb(O7_IX!&|ptf9W2|TWdP06eri^)|ohjKce#=qz4^2eEi>%_DLRZ&HB z^)d6oQWpFCN$F8exrbK+WSEa*?J%?2cv4q>h<*98`AV0G8XuwKYAZVW@s!gOS6Ha+ z^qQiavaOgOFL~S5PA)6ZEHsNp79(xHR9`ZEei4VSX85TlJxJq#>J8D_09(+=b(1lQ z=OM=lIgwYdyag->(?qP~b{N;p+Ub-K?=(z2kFHbZxZZGfidwJ3%c~f2ph(;603pGO zma_}!ZsUXhacl%=;M7hRJ+-f5lZiEP!~=xm+YfC(5Erc;>f`~IsmX!R{X3k9U~Lvw zx|GB>V1?Tn8t`J@Zeh>HA#B3KFxBVr-4SUUOfz_TC$7btrmvHYIcpF{zz2oc;3qGn zn8cqlbcNYS^P?i|CukMpc&Z9@QQ)6v&~F8{4~mchH#@2ddc-`){VNn%vECW$U5|ld z8{jFj4BK>#o_-{>zL~053M?Rln-yum#jdft8FO~-AT9QEP_ZyR>_sk#be_ER0OXYU zRGV-8)Kha{2vGs!hJqxYA(wn_+`*W@Xp>R|t3zroo?^X}gGQ`Lj5w>A1=)fhW)6=n zm@ZLbh<;^O)pJ>46NV)&P+ve*LRQUqT=(Pc)s9Bk)n~```=#=dxV60!_x=9YDbUxW zJ4D*E{ToBxeBj-oh*Qy_*P5Y&+0+1OoM(>{G0&P;rK0--#oL(;h(^LyM9*|*Ph znyba64zoILgTsK)sP?E9$_2Isc*RQ^E02VK;-fy-1{&AKQ{e6Sft=VL`^vsCLqu~$ z<;;Dql&}|+`iqSDdSkpJ&Yyn8ZFNXJacYX$lRNsR++|lWN`a{!iPIgWOYB9gAm-+t z@2bDy%h%h`+gE3m#|(V#`jj__ef9K>Tg=3IklF}xe66hF|9A^Gy|D2{FF#IMEltkV z8|C!#Qx6g$Qt_ZrqA(t_Tp>aT&ZJ=R!3)^D@jBd2k-X#aFo5Y!g24TDa3QV#YqWIn zUNcs8yd2?@NIj;v1PjkF$UX{bn1U>xS+X6xoil#bTi?n9+Ph{4Iq{|;u{HeHms3*{ z8S3{(z8$6ANE(rr71fhAoJ@~c9(-bx8{6@-a9x~9H(%)%8_4P^3z>~CSdoi-rm~12SWC zoJ#C+@9K74QC_4dHVO-RVcG(v@=Z$B3lIw#-6bMzf6|oF|Hmp6<;xwX!A`@63yWoJfq$i{lE4mQ zq@XYwX+e{KvvNAw&=v`UdV&)wh=}~@gL#25+e_1=3SsWJ#8;sFf!f~TG!6k!HjXx5U&E}++L`;RT%r_BFeSsW;1#ZqjOr>Cn8?QtuKb1u zlV?jzAQg6@w7H@n5w@ui`2`Kd>WtJLjMv07hthhib@H_a!RZS!KI$<>NB$lB}K0JjBj<(F}853zE`8a?FV22@>a|zFAt4 z0$mu!vSw+QC`7UURG>;cpJ2}?vsc1vc|p|Z0&sQ&*Jee~;?{$|*=R!`G`-+!$7)iG zvr~d#!Em_@ifIK+R!&KiW$BBkFj|C&CarJ9bVHlofR&zeGR;Ye32KIM&dYU^_XJFa|^4!63U8)mLNF z!4#IpaF32M8AeQptzZz%hPxN-mz6j;WJJMDO{0o(YY7lJFDm7x z;gK-X2alZ!Ylk_tsRk=E+irEznfTo6~&Q;J3bZvBnks${^7et-u9)(W(Wmd)AwS;F6}A zQ?qAFe2BXZITWPN#W_`Go4~@-X=RY}dbE>kh_6n1?9N#a#togkblMZ^Ff9RP$6C}u zzGc>Vj%mpMNA(|JH+~j7b85IwTx4$N`D^cx%NmRTb3aSk+IobCKm~L1NM4lTeB-rw zom&u8wJwP^BUJ+LGv56EwwhH0m8VN$P1@LSr61vLLo*HOAT8``n3kZVW|wj%+gNqr zfAh6zy!k#`dD9PJtwOIq8Frdn6@M$R@bY?`ipL(~yzR4HQykOa%J=#50mDzgcj>(I z37A~F$7-X&SvEk@!MU(#Uo36N_H>0TWIZaM1Zz@MH8>!9>TylN;?nYrM*l$M2YoTf z=jm32CB4fQE5;pNBr7Th^Ot^!zu z7Pn-Kw&0vY<9c@YswL{A&KTi4_|BCcZJpT)sx9zPO|qX>8P?#dJVSOgXY>|0`%Ju) zO)E%aPPk~!3Hkv6S!Lk~qe)>I zmRuFxO@o=8K*n*Et{oT=k&C=mIBA{wC@Ur0<4E1-;e=u`$McZh8qgHSHK2PHzqFrr z=tje3od<1)+I<57-Q9tWa~4%4ynVQqoziw?4N1?i@^`KU8dgCmp$5p4PS#YCae;XLI8-oB9-M^w3J@eJ{L)OqXHlzO0@<|LgBGIK@L1yLDY{BE zn^qg3biJBtRT-sUR+$n4ZGi}>CbiE>qNiY3+#ZtK9GiEythnhKQk_f~3y~sN8&nTx z!azI|MWYM&d3AQd@i^gy0=uH=0IITJ90u6)JLyF9ow$m0mQ_$x3)r@-y-?Y=F}{6c za+eY$d;T=L9quWg%i?{(pq`RmDew`X0O_8MeXxp#6mkKD#ke1tz1^Ol=1+9ay(KO; zBi3|LJ0RQ16Jt~@jqlzfjZ+4Unfk??r24%JpzxmYBprru3@Ii^n>p^A8Q=3#)IS}e zs`YnyoAXuTH9$nS&tGOZT4BcC_;ur^MGUXn7}NU~_kY^)ohBC~3vFc$Rw2q!3UyX< z-CPaP&@*LSSVrrHFJ! zS<-n-eKRl8tO8eT)Z^0R*q^4EA~dkn6=8Oqkm0+zTSscf{9F>)t&5 zyJoWSm(x1~VJoZnT|4jVpECOo{>V8h!uB9nIo-2mCZSL?Fq3{@V|>@#Tgt}Iuuk9y z0_O^D34@UtDHtt>U$8OphM-VZR!}|7m?58lW9A(Q=r$}E#Wfgq)JR^a{s@DV&wqI& zcAna47ly*}i`=KJRsnI4&u4WiB0WTPxSUZ;PWBn?)HjFVb~9LkZqft+HJ12hG=aFH zfOq{2RxWt4M)B+WA0Fe(&4lWTY6t9AxV;K})#%L}JxU)VTX!eRlw*zjgcu%FbhlD-CO##qOX z{=zCpjXupZWLW-ba&<_RYfM;A_U8tEN%9g|=Ai1K>b2_=z{_r&R+K^gGO|4wq081G z6<>FytcX3Th)w7@l{g3A=0F8?T`4Zd(9rwfl@ATPH_m1hGl`))1VF}N#|UpjsHN4S zNYgM#%nufS3|>{{&)%@SwbC~>g*NDk94qk?bBm`Xh!yt`ZMWLl@$M(lFu{h|v(fd} zpQj1j8h+>Hdd-i^{T+dJZHtDS>$cbZ%DAsR$BqGl+&rY^3~Q{Y<5cWJRa_m&D#mWE z_0N5zSs!aNFJft(#>r1A4R>lKNgXYQ=IMvl$D<;tf`GzyULQq6gbgA@iZB;%i*+Yl zGzBKxy1T+ky9GF?DO$>5T0P}u)7PH#W=e@R-xp+3waAbu&OcR z;a4(8{@&CZzH3H%RHoXvAYzY-wLzn+MoaaayVVnHY~FsO%h)rj)x=tD#>ztx!W>mm z0IvBkrvPAOH7OigW1}ml@NmA3_9~Ul9{%gA#v$~BKQr$k!h|#3M3`wd%aWOL2ah!j z?bjR{3i%STIykbk^p-G6pzYD|VHRW(Y;bPEKSC}sS5gnWr_yKXjBP-VsXx5$ZSkhw z`!e&N9lECooD0&*vI`B)^S1j7@AhK!)EpmR7tfjd_^j1IR&Gswgy=I=<{(`awD?#C zE`(UI=m|6JCFhA1zBO|`3VnMYmbdF?B3;`8eG_i*a|`A*Zj=iK+G8e$rN zoHBZfrt%Amp+hAkSea5;!7x~ol2Et{ms5pk&8VZ{DS$S@!Ta2qdX=^hMdxAhz zHP(ciTZC@~Gg=jp$_=C4aqSy0HTYTM_l42G)5WF(4{IXIL3Lz9%A_4x*T~z3iVXy0 z5x|b(^dLo?|ImG*VcB|4z@pbUx0Po_TOd|6uO(XcC(BFp-UhDCo{!PhF@c?82mRu9a+9eM74>hoL-Y57ia*>|rSRH57|CI$H$?krpbw6*N_1u;_aJ35L$Z%85s zcKryJEefSC@GSrXYwvPRtyuI0`jtFE8O?}Ec`PQ!hK(1YmQ=`lsG!NnYzMbQl0dVP zCA~l>(%?;ueGJvRQQ@^<3k!1^Kad(e~A)K^yVk*n(eYob-0DRMY|H%9O=#I8#&>!DKhb?aWi(`>%(u~ zrW?FwFy^I>nC$p`c*;N(vyH%$?A%|5y_!7rT6QjhY$q}?QZ>&hKw)Al4{EvylB9~Z z^qBLu-*Hq0BRdh=KR1nwuZ&HVr_j$tS7)Qw-j&RPYz=HcO*?X~D%-K=`s%*AK8ikf zLvrUB?Mg#!2bWvv$kNzC%UFwR6>*Xeur?U`-{YFDk4zu9FJI{y?lh`24!kC$e&`>g z$9Cb1Ig-ob9-`HIm82Z8%Cti&QNI6hd@-N(2t>91%#(eBSQ@>)TGyla?)TTbX zVpdj}Vp>B{Y-cK8l*Ufznrrck%rj<2M{|vNa$V-?_xN7(LOh-x*c_tQX51C($2&HZ zCV0i~n$)`}t{q`CK0>x92so2{QR8z9xHi;go^jT=oZ0{RsY8tE){!s_dKuTMNK5Jk zz2*_}pNVHz_(l`auK@C?Xh{N92CBKBH^}UoF}*kO(3S_TH28@1Z#A~R-XvJnm8uJ1 z;s^iHT(C*oBhy^h~aMX4ezIm#ED~!%q(ppOvSBPTBbCB z6hQ{hh1V*I7jvD73o=uuUSUXTHh9{%@*)=W1pJbu)fojQWi=Hb_fF<4OEYr#1wM7A zL80X1k_a`oR=|tYSvvuJHK)v12Zxx)!!P$i#x=!Ea%Y2;ryXEsK)H*D`I|DImR3r0 zWPmwe;O~Dp^bqm$uDVZdc2}?U<|-4%{9=R4LU@$OUYm{j=c_cUlneXs5mgJI2+pq? zX}A|srz+>$KgQ$60~1;skX!s@_rt28B)WS$E1(u&6+cnSmq*;PO@-6a_xy>m@(3)Z zOtxBbWTwi~#?u=T!fk_xQfwW@NFZ8g5EbDWaq5wFpTkd=e8rcj4CimYKaO|pUB}+) z)lPFfkGQ`To2R}V=|V4;t-mj;folbRdhlIla(AONu58`daWnl*(oBEuKTZrA2Y{gCS4bBv4U&CKJ7f;N>Gw*5ejt-61wx0YT~HBdfL4;KZ~?j zS}QIT@l1ulOmWK>;zCu(FK{P6<2S##!yNhH(22kV1n<()W8dkD?g&e*|G?A7*k^}o zwfAyoF>n3c8z52owE=Od;f`puyZ3vgsPv94oIrfp&O8Sqdg!_&(~r~r7dHCC zYcnGjotn}{XXRE8UKTFDi8Lm85P3NfYD}?}$n3vj<3#8zWyLm2>lIJ~vGO3b^}Go8*Lu8c;y=a;zUNssr~JKrT)SmXs8K4rwV?xXKFf*UJj1ui$osHn|%aj{J0;?oaoxlfM;o7(< zu{cywSW%rvU|yPlo%La2DHwZnZV|#e<8+mRm2&dm7xsZs-L(XgyD}_hMafZCIdGw< z*eXKiAkjvJs|wu)sPy4v2(kcH)-r%|%(BL#B+ni`?)e1ESmBgyp{hEQUJdd4CH>^i&1Cit1e5XOyTbfc~dop)I6F6X+-H|vB}61$;?u$WF%Cn zu&V;)?`MSd)IbORF=fKKENxKhAtO9y8sjkw+ZXb$3~A5{+~6f;1Rl5um4lbZ<&R=M z%;MZu<%`Jk=EQ%ef`mMI)QQc#R;-)CsNZpj#{^_tXC98c;#+{~bLa>^_pXbv&8Z{m zz=x^afpBC2qD=_OZqlxjDp#lzRanm|>+=Rle9y+jGXF1d+;d68`Zrq_&8v3^DzCMy zv2Geo{`R%$4(=9n0lStZZuPf0wE z%d#W&s9akhmpZP5_L*+uWYgzgZMv35wc%Fe_SGlp31s^!WqZu=p|>Y) zFjNe3L@#xhqnf@M!&s8?tQdNMlFU01NsLRJU-{|YZBpn<3;`R|g zb@L3Lh;!MSUerpaHPpZST;I4>R8v|}ORmbLsj!Uv>N!JoseW{Rc z*Hc|(qe8y(q)9#QF^8pPB8w@$`WEfyBXxY-?nhJ4DoTu_W2uX6S5i!+7}7Y$sqi%XkM>DHPVHY@A z>d2$KY-}jNSXbQ9`++T{PCyd3gu9v)NoA`Y4S^>=5mHKPi}00=<|ub6XXe4kE@E5x zmh)JNdWy+zCUN;dC559Hi|zBNcn4lik=x7}|8b(yc$D1^2eu8U_OTj>!^&b#jk{=B^YNi-i1CVU7p8c`Q$mNz#-{PihLjc? z@x_cxMiiJ9?)-rkPCJ-XAI`NA7<(SkJX$f~f+|qjm;wW6Ju^EhUu)=z_i;*F-KMAx zmub*aMt&HJCAu^J8+$P-cq?G5u2}UAql^b2uPF(f!vltsmN48!I+^WP3?RNvp7R1h zO4dyJhS^exQ{`Q#FP$hGo2V~lXC75snrew(+5r-)9P$7U`Wm9{7Cy!>oY)eB7l%Y% z5mW-TZKf%5Y6f%OA*4*s2l&7wokvdh<^_g&zSX^e%7w-SXphR6tIF~ROrbx8SBHe% z)H~v@hP_j#6o-tyvwBzPiA7Z({s>t=vpSEZF=ElcxXtdm&^FbCR)CWi^^fs1kNmF= zDm+7vE2If13M^WufC{e+Jx23U*vZT|neMlKSSPMFW3BSqF;R(_WQf~qq}dM2|w6Nu6eBI!~^f?oJ?-M&dxk}h(&`4TR^hwkM>Kq zyii8acg~kgcZuID(tGQlB57T+f9Z#jH=ljWLH7I01K z0tM%8LLU|%nc;xNV$GA9r!O_>+|SX9zqZv&FXs)=b}!-<6%*L9d;(};#V6S;p@iQ{ z<2+<{F&$@ZnB(U<|02a1ErhIOX#Y(z@k_kB!7)c^{QCv zBB?r(cb1IBH5>;45;LW18R?eoLB5Ad7xbb}G4+@LW+^1ckbf8%N1f0Xv!F~N&Kk(a zvSVJ}2M3Rl0DvAr^T3!qf??yFWh>4z9kc|Vf;(^9ln=)k>XGC6HO@+F z`>i#&KkKrZJTDr2##HKX&;wx2l92t7ydNWNNALTS&fPyKU8dWcn-@yvB@?OoL{P6& zps5h~1y!ET^hXMM{}2U``}3LLpQ)m-%lrT&CF zAyw-t0%&7wC^r6)Q#nt)+HGe=RS^S$+R&GHedAYnw(a3$zn$NHS^>hAJ-)K*ye4P7+p^6BTkCN z`O0`r?Jvp4!N;hZ7l3bAtypz2ofpDtvKVqriZ$I5vAK{gT)-Iob|Fb2Kf)=;^4wr^ z)w4jcmPazR0~b>>nW$DR|$t6?T9K4(Ye_GNW=U9XI zyhjh@?3^BgwXUm>$cs6cd}OKMf>~rucx7m8Zt|oJibOX%j^gILoQFH-g~WXz)SGD5 zf-j3u+6J^Yw8%@zCDEu?oOtS*M!kEtrzdpbvNe#j17jkzOL>uM z1LE&d>V-n#mwb@w`p6{_d1+OIKvHDeBmwtN2JU?4tOoH<4&F)x^-n_|DL@{XC7_lo zsO3&;dsrkIB^IFB_?xVjH~s~(RXnzd*I-T$J`+~Lok_I@CMK>aWKfBfW;BHGYExsXSY2s}gW+@R4OBqDm?R;hc$hY1zSV!0ni>SoC0yCCc<66(yr69nrcF zVKp_WWU4iXA$XcXglq{cYHg@vc=@0yZ#-F6FC(dX^7V`=;_V-{Y+H5ms%yL@QwSX2 z#o|n~&3VSWhGFpfxZXVVC6qSfv*>(q&VETpJK6#Ck;~U$S0l*TOtm~@s2ye`y+-lU z$oT^rmDU7|zfEW)ET||cP-NAxv#cE`^H|uutSYJ%?51nY@;f-!B*c>%R->ua2Vwva zKBCOMqst1esFeZ51C_!A8W-$kmd{Ujx5;x^(p3Y>lVe%`m&ZvQR=$V#Or#2#{t^W# zRP|o2L9AaiVf;2bz*QtuB5esZt;)FPL{(suzFVAbfwSg??K1;cve8w!V<_=6-PVri z6iFLk8#hKBf$r9g;XucVX%c^^D=5^MzexOwB&#C>y_$^`O@wmv*ymi+|t(%yw*j3`08lKj-NXJS0{hb&w*_Ple5XR+x)~|loJc{ z`d@EM2k-q^?5amgS@M~;44L2i@5VJwUTDW3xM9+_Vy1Yv;dMR~!5`h|Gik#KO%d|1 zvw#@`_szLm{N_$?KFsf=>T0rU3{O@JMA8J9F)pZy083RSCL`{J@ytjwA_1mJn*0F= zTXd7*u+q-FZlm+B|H#zvPS-VJI<-J+14cjhmh^T$c457U*_$xi=A-;t0mhMr1Ie)@ zigy^R4G6m^HA+!oz+**j%o`#$aip@SSt#)<@!iuMk6YisAC7EUJV75Kbqa`VnL>nY z4Vn8nKLHsP5AiL zmxriVg=$}lT-C2K>iH*=M_^?|vIGb=TE9O{<9BwYJ95-{vL!e5tDN*;mjfP~pbx7> zOCdzRMtx2UHO!rzCY~5+(yrk$)^*lK;PRTBN9LGNRhGDdr5C>mTS(@JD*;5?G%;Bh3jDbp@LUm%Am!c8FQc^YHTB({elN>VfJ zK|~g-B_wEigp?M+ov6vFULB%A{3ill7z(Z51f(G##99CwqoR8eg@)A(guz2tCe=w7 zJcS-bFLTad;gD=K-Vek1R||GCh1&y?<)K#{jSJ`RzXR-=LIZWJbJK5 zp{AO|o#N~3be*_n@rD~S93AN~0*ohCqNyXb29KEiYDwa$f_WX70~y0T0OO+{vXIpB za0{D7a;9|xyh>$UkHk5kpk*+sm^m zY);Y2cG8nE)_iN9<>OdsP?f}6P?@5mI`94bh4`+|H7JTU!HZ6*tUO96T|12O==+t! z!_!B{Z*gYe8ZTV6eu1gqyxI0K7$l8Pb+Nnjsh%j}46&&kFQrEhbh5kD20Z=L1Igs( zZ7iA@f<1l5tl!POw>};*+&mp+uxPlC+3fD?+N}DmABv`4L!0vZdTjW;n1cl;%qUw? zC~`8hWfYp+5Lflfb44t?Xbc)vwjQ%wAwmeG^OY%((Q>^`zj^DHa4Ezrr2gP9n#Ts; z*AaTU(xzj7@-Mjb0MhyIzRuWRx?w1AZDmF8<2OOPMsXg>1}iEZRjy54{tI!asiRp} z62IfqNcK`LdMkeE8y~Y1;`Nw#S>}fh^e}{6F080HJxrwa998ZX&jt|-`f!R{+CY{o zgvi#ArF(9K>N}-C8gXQO_CB_7|6$mTfhh)=I~*E=xw|+XI=Hi~q0)Ol8f##&5^#dI zJ!w3Ax4EP7!!UE1?zvy1SkF5j&vPGi$b4-pGcQJ7B;0hW!!0>jNhqk8ul!aPojsq|r+-*Z&9R7r>XE1Uf`sVi~$Z)jWOT}zXZcp|^6n`PrZcL(q7N8It) z_h^p_sAEoa+_g2#9741Qy?q-)3iZCs)ZVt3D%?GFs=rQsq^ABOyBO1+&x=fr!pb8x z6|FOGp4XmYvuKWm)4;X5E-0;jXP-C2Z<;avFXg+pQRB%{_t);+!qW7o9kCB=ZhDPn_s%L|5mlGh6LifqRpyPaAmMm7(5e8wEydk zBMZx<=>NFK=FL@wqLb?kir1tuHXki4wbU2G_=Rd=pa9$!6(WQ{1zV9qua8ajKl7U+ zRtY+jD>#hYhwu4tGCDyZ3u#r7TR&LNzV1q{{O>PmT`)DhsAp`*UUbT=uZgSnsH*kI z9NK>s*N*%)0g#l@eC1b9N{SXx=YuZIB18{ti$fu`q6)RXSZomME*k27$4`fXKF}3A z(jZNf)G2U=LWFD$W0l9v%_3W-fCs|>o+H)FAFDm40&R+%-#H}emN3NPVk~*86!?0b zpXu>6=iL3>J~@@Yx!2E9IZ(6xm36<0`^IS!j4ctyPyGb0lclr*QX;!0k!RJ@dZJmmBAt$!)q6V573<}<`NKLu0U~T4Iyn)Tr|*Kri0Hk*oeXU5H!DvUz0Iq)~XG1cB8w zwxS(ISqte4gL97HNpDBZDJ%hY98OK+Z@Ma+LI`70^&lTUjsKmwZSXK>@*r6E z^*V$3_;vis>7~>+sL{*7wt`82)8_8@=Ic^F45{CLRp!^TyIOs!Hs9z+_RNkn-lJosBmqhKmS81V z?=KxUBU8}cD#5rZ$j^LsS|<*W?AUQK6hXnYL1U7~+}Zp2d_@%@DX&5RhOFvq z@>s5#H=G$U<|sxhs$5z~*H9UeE5d@3Lo9n#UfrU?t{5`=+XD7wa_~CFA@KK%_nX6U zWU9ZGAEV9DYuI~?aUuG}^%3d_0}DsaSYG-2^kyLc=6Wr}Jo(l@xu)gwN((eeTv==% zc}r@hl|C>QM=8!TSn=yH!~BFjGZT@jEv726jtBCHysG4n8P!dEDW>s)`3?0s< zyOBMt!E~~aLe^B69CFWCSj;tXE4xxRFq1oZ`&ixz(}Mp%pr zu_myd&$n+Ff3tDQscH^oO%k5MEddoCZRV{&{rrR{ zq-zNfdJ#!(D-SsZ$(#bpddEo@v#}F9G$6Ls?{&@YRK(bE&x-x+thZ+3urD>p*fTx5 zOBV#R1}$x@d0^Y{?6#M9&f_OVVHNuPWXWFnncv~~#!HzGBuM64vx7pLH)F{BDQ+<0 zoxO976XVlb`?({L%~E#29NKA|>@t>jgRA$f2Oiq61f zzGQi0nIDc2vl1)Kd-+9MPZZ}>f{iL#MYZKK|#ba|OZ9MYs3A6dlw#w&OW;sU%SN6M1=QeA`UMl(5 z>u~;)#dg-24P=?hNY)OpaMCA4yq;{2>;BjbeNewWb4MHi{LEK{mQb8dhjyk65ys0-Fy^Mf5E5P$=CZ`78G6 z@h?FB9B7=E!j2-m2dyoskq{kGIbb}90RFu=!$n|q2knqhtF$;~SwnZp2SR(8QwkPl zqlz73!}$a;lPyc*p#mly)+j>mWVz~tOo^_t1Jh4SYT)X5P*gc6?{kIWPDZ1@Fe9bEUFUXi zj;c&1oZt9o)akso9`%!~0Cm~aK-AH5&$?WafNyDeQAh_?9;H-0I*jW3oSDC$i5`iY z+3u`gW;#kaFLPqNE0SbxH8u-)BtFo4tu@1^`Z!v-zMQZ;aFdEIT|`&s`Xkm@Qyvi; z7>#Fl(Minyqdj(6X~R4V*=Y(9!eNR+8jt@>l~sieBrgSOuR`7Xp-t)TG9}WfqcBKAfuWj9p+4h{qv&*j_p%l0)YE+Z|u5jwa#;dKX-enOqm1HZ^dlCR&4S zO|nSQ!8@wr-3jStQ%xLXW3?=>3)OBDlc2!X;8b^8p@XNNY0|?mj(HyO18@g4&C*|F zwSamY2)x)@qhIbi7Bil&kt+GchFK^E^GGLp?>1FEnCmog!UTK{kY`#j=;C>n!O96a zj-i6tPq2n?_lRaeLF}*s+|*uY(d23Mz~aSo0esPXhItN5Vl}p+auz67^)$Hn=3nWR zm}QmsVC1VKkUC6FN#qIOu&HI|B3$aBy=|gA?W(p96>5WK0+EVg>xEuUD8NdS!eZFy z3(qqOjK?5af<(2d*vMF~RufWrJcAt+!WIomRW6OSglEDU`!$%5w~InINf z!<;B%6&(`a`GG8Tl#0eQ;n9pkgeVD|$3`XH2$3^vXC;Y3p5f7&Ho)@}iQ7m?1y^Hf z$|;e>gy$IEoODz@AYN@{kX%RmAxOXJ)lUaf%JFXS-A& zV_xV}uE76o4x*sA!~8fJFteBLL_FmDerRZcU`;E2V#t}wg?&5y8;o=kz*Ees_LUNI zjT-5~>(e5B4X1hA{UYteK&=vIT=C-LY}b6a_*>tpRg8 zJCgeCe{4+kuezt3*^X9WY~wAF+wrp~Zoo1|GRe~#IBxF7xU{u4_0Jo<>`|FtKp}dy z#)^uMlMU{a&->`+)WTDb9iz1fvZbX}5sVihB#R0W!eS`)iWFW)&ZUGIv<+8F>GM0ViuSD8oO-!<4J!A2zph59(d zxOHef`ofkhT+S!?Tfwvz^vC|@wa(H?29JFEra@1IUAlGm`nqM|Gqm4efCIOn;D}|2Gf!@0`sEqni%Gw)r?ck1Tf8=}4jK1@ zKnnS$H1R*ODt1foP*jq8BHO{KBG)93wKGg0Aoy%rc&H8Bn-r_LmI%w2OfnQs$wxPY z7GTWxBd3Lvk62M%CdR{4&J55;fY^Pt!^v}ApcEvh*`o5|l3nMiwf!++s?8~vG}w5e zS=7`{6A0~_E|A4~Qd~#@)3Aq{4&wo8PIgP$BJ|-*H3q$Iqt$?+Y#%ymbn_x4dmb$>& z(2m%;HD&@5_M?r%v<4M9GBpXua~{7QBRn&N<#rp#0ELe%kS#rmSAL<&@}CR1g~X%s z!IO{8L=kQsArPiNG;>E>ybqj;`FV_qA-PqamJk`elu0Kc+tZnB*aw_sG z6wwCWizJe$4e$|evn3Ryw2~l33wmkc>1oDe z9D{usw^<}8+FaN|w|vZf+k6dfl#dr@35mm=IL0`8gN1Ci}#pNKBn zHasvBx$M5*K^L!E+6TVDhq?SP!~J#UzP5QmSnu}#){IX5q+^(kPFv>S-wv+No{OKp z@Z+(W@3WB!J3?8g6&7DQ@OjeAOLJ|57o}>%$|Fu{o=$$0KE(la9p{c3zkfq2-M#;N zmnG)rEw-=!B~$f{*Yf?1lblt#jS(O_ZlZ6Rh23R{*8r|Y=>BBqm1gKYf3$u!A=~ig zY<{wojC5`?J%cBQlNplh@vJSFVKFf9rFx`!#vW#*O5LB8I_x_3ceMC$-Fm5I>>OoH zS$u^Tgkh=GENy6FDxnwQGKB~sP$N8p!WJsCyG2sM489!U*ds*riu>fjeiMJ;E#6Hc zNI1mqz?!#S)aFl9_H8k5e)MING?c0-n1B7%3^ppJD(fAWb_dDY(w4@z#`yYlfKKMC z4ZgJ2!H2wAQ8n^cr{RR3Q&B>VH)_y*`G#;xZFvJZQz4SjNFghMEs$193D9%vI&D@< zuj4}Wn9GgzJ3V!9DR11sh_u6hZ=DlOOR(=R^I@y)fzB4x&bVu$8UZgBiQj1s7cs3J zQrKkvzcmR<954A9HSm3va4!Y@$_)_$O6h7ArgQ$k;XhuI;H|;tDO(aUW2k?OxtucY z3G)*H@)|xv+nqiqYhlO6@<&gJig9Y31XRUPD9!&*-1`7mcGP#iea=0S&S*3mQTt^ zr<^t=?*PvfPw2s^3~q4#o5c*gj3(^W(ZS0k7mf;(h_=iMtx|`nVt}xSQOYfvGZZ2U zK}(@pHyq(HXA!X#ig-zw6c&=AkiPS%uc zd9&lHqONfdO12+B>@o{0ZC=WP7q4Uu1E(t4l-$N-H_vUGTcwbGB={diqe48F;Ljzq zm&I#Fv8ax|iXC&<&ZdF%ZI6@hB|(VP#4jEAI<-*_HR?5tJUxNdp{5fdgRu{lr)_sa zEBs5fydsba$(Y10k149}0859h)mheZ?k$et*V7F)9NRtTfhIub8yiIQ6E=#QQqtrywP1G>fLYFTz5NC9Ae~Xm6G*hha|ekk-Hbu6Pyn*-3fUmyd+mr zODq_#DYF|KiG~??rWsd0W{{?gwJF?VPpMQSyO$&3>2z5_bc3Kk_-H6^o^a?9pi8hB zB}qU;h@U4Q@I>&H-PLN)s|N8>;ZH@#)2L-$NrF$rUkL%oNiL~6rFmvaEciE{s zI*#9X1Ss#@yJV8SX^<={Zs14WHBs&jtnYQm556%MWdsJQA+EjtPNJlXTJw&Gu2j&S zXBwW>HB?2Boccp{@0N$A25#SFp4;De&G(NPJ0G!}3){Xt%kQ4Lw6z`a_s98Rcg|~} zstZA0gpN|16aw#T+Bt8^cmA=$*H>#?U}Gw?FT95BDNA2ILV9Weapl+!R2A71tn(y^pkgv_wq1LH>n9Wb2Yw&$|3W zv*qFs!6xDE?6XX%Bs-t&52}XxZj3r%>}q&zul%vm`1JGesDJqhg0q%B#^Td5!I4w1 z)s)Z;qPFQLSs!w~v{OO0Qdx}IpV1;IZjta6^_V{Eq?@eZkyj{Rz){LVqF~U{8 zD?{ZZ*93P&iKs0MRLxtzkQSL){2C`lC%<{XwJ2Zt+X8a+0g4eM-~=jDh1y0J(YR4D zy$mptwq5*Da(Xo3&kY!C#B~7~Ja%X&euVE!!pf;?)z_c_3*khxXB%9B**k_{+D#3t1mF;ejd_CxgxKk2jiO~?#s@r zKThoG%)}H0^Z`dR$32b=DsRXdsNWOQ6!TVP!`x|KR;b@ES*4G1M8+QRrLR9zB^pF3 zc`4b#uoI;*!DHGZ8w)Nl*b*26nvK@@g1huU7`x~WH{=PS99>qX! zu~5yZI@dJ1+M`AB?iUJZ07>lSkXB!;5aAx=?;s>ty+OZQrEk2JKk)Zx2YOY-eC%{^r)CBw(*Y&RzxrVscpsn{ z)!318tF84j7YFy6FSzqt_YF>sNQpYOBNa^l_krBtDV5d#h1im8>@ZB8%~ht5tw1p% zT(7qqS*vC`jRF+O7k5oJ@_oF5f37+JpFeU5KfF)s@33VSr8axK>^tHgKcCvM;7ZeD#Iv24h6dN|5;;8!X( zr@OLyS%FJ27OK)7tdd)lIzW2XX_yq$FnwsP?3sm8G4+5DAb@V0C2)wf2Cg_83K+-8 zoLqIDIAzD~1=}d2s0|U$iE$E;^axU`K9xUcgd3VvpXC_opF=QsF~9L{ylkUn&q&K! zcOt}wbe2_`F@ya`KwR%p&eF}*D@tOP92?@YNXQb72+C?t@GwLNbV@`eMuSO6z-`(r zD%BnZbhD1oG$;V*i+7}ak z4)zRML8%Hnk3BAzz{?nRV@v&Y!4Qkks6lJ$V9X1=1gG*FMXor9uKO6Le>^j?Wicf!|eaBcAeq?$WK@mKT(+D0hme<^*>M%3m$&+LAL zj@vY~S%arPhuXNLm`nwC{A#TJAx-y8q&{u^<5V_qI&De+hnbzRYS=HgbP|&0dnwK5 zmdObUY`yl340KCQT!IKBR@u;SsOfnj?!4R0aNuUXF@Gms-LK3JE3I%*<)Xv7EoLs6 zhdM4~cJQT;=(<86blb7yVRhbEnBDUYDEc6RHf4p2vin$vyYu*xD1y|NH4^&6x zh%cJe8c;@mH9l2(pJyqGG@)_MgU2H|;BMLuD2bcH~dl9*4W;p=$}<{j{3Oo_e& zD`98JYag0Q-DJ*~Up_9GMN3P~DrO?)GwdToz~jY}UYCVF36$t_V0!YNNHbP3BdL|> zB%lQ3Yk>{&O(%|3eTnaGY7cdR8NHOe76IW~s3zG9cTY(FuncL67fW)pG^++g}dx zLk^mrxslsvV;>4dS4j2S?~O-l`QYGxh{^f~pikpI&#mM6iNqJ~>LV<6!TPS8s=x+z zgExp;{LMVCD*m~T(;XaJfcx`VY}8O>BZpn%{jE#NA@TLk7KwrFJ29^E%9eiT<;Cbn!=1-%azs@bqQ18o) zzPLxVd*U?7LYnH>)t{Ug+@gZSG&?HmnRq0q)lu@{3vOh3=csj=YF^-Z`|NXQOy&vcLsRV7 z%H+x{#;(&6CsCVzk#74kA-lsqYL;K;yuw9kbh#kdbT-uL6u)+AtF<~ElV z0Xz53PlQCM2%)mOS;!2TL88$oPnSgu^LuG8D$Z*r#EKY(}_q1SUT5+<*xL1H9eu88H-~0v|HJy#GH6qI=OCDJ?C$wp-V=BT%gDM?b>h}zVr z5B#djS#i=8uF9nHWBl-aT~8+fSRv zGnW)sVU^_+dgew^BvyV{n+E%(F{-9rz;g?Wzxw|*?eztjl66;Qg?lbDN-9vOCenU^ zIEqv%K#3TScJADdfm0jF^RK1;NvA#OvS;xG`aj)CZ;YcQQh%B*9@3Su`nuXcz*aDP z_|~HAu+am;!{;+Yi8frw6*>65T}Az$9a*se@5QZ{tj9N`iJ|?Ysdl9Pf)Md?C;t0r zp6q@mnO|&uQsU3+_||`G4j0-RjfV$JOkx(W2gpLqRKP~{RmGDB@G{yIb$QwpCXckE zH+1dsLYZ1o0F#k2?s-q}_H>q;2$kN6V`9|{(DAh6yb;I2mf53nvpfwA;CfNI$kQ6R znmwxLSvmE?j?CE!2zOukfz*BHbZv4lX5o3~AO8$@KD7Qe^-1l$aRqzy2h6AzcDAyr zg7`CXTV_hhcIpuBuHYL4H+e$d;`e%RRN$qp2+|glyn)Gj^V_M9o|8LoEFICeg+XV+ zWZL*5p;%~>fN&;{a*5&?HCFb=0W12u8dEMP+$W!_rOyAFQ<*tkGhb`!^X>5A)HOd6 zqSpPp_{!lAbS$uhlCh^`O?a+AxM^Jtv!=S8h@oR?#QKD2>tWg_qo z*;EGNoI%5ddMLRSg}LC-LWBy*Y!M(&Sz5>OZ{;hPd^P3h4aP^zQ5_X2+-T1ai(v^k zg5m5SD*P5!OE>%uvq4=0~oJdF9xfeC7}vysDj)_CypX>bVvW1bY3e&^zb*VkTNcdx|n)|ss&kydz z-=^0s5@CY=t;U|wijBSCp0MPtViG=kd+Klfn>$k-!PbiiBQBMh$IDSA>w-K=cYR*G zkegDp*uIY{JMu!!>-%}*ho(lMHlBbWyFHF+nEBSqV7afve6=rV{z8*aH<(c^ZHGQ6 z4uWkPJLRrzJx!*5`#<=^GqcL6t?Q+QZgYsbJ^uADf6H}4OS;p@z5BQg8^ljGzB@FM z{EX%yNJ{2y;L|G?-SZLW8cmkdZGD)6H&>ZL)`OyKgw^-e%ay9X3u&u;){P|iVp7lS zE04S=w2u`HR431)e4d_>Y!RlS`R(mCxO{bHvrm-w?-*DgLwXo$8ksvjV%d>bhcUh5 z%fD5QE&H5*wOh0o=Gwz@svGm|?qr|4{Y{E?PC#`{RnTkg5#;XV3$GS^6#q- zRP2J6qT5Vug83uSl|}uW8=&BRAV5g5dT3j@DIUKZo6Y0J(@ItnH`wM?FURd=!d&KM zo;B<)FR{}MF-xwj*pP8umqQZyeScH6OY!#-fHS63`htP`@BLs$6?DV)6O{`|z zBnq&0lK8iV34-|2Fql3}4&p}4fDwNOO`YdpCLCpuN=BMB?nLX=mjYokV&6eBvy7>% zlo(YSBQ!X$*g&1)N#!WWYjnud1L&sE3ZhPmP+S9F)jUr0kTT?XFd(X}OB`JxRuQV> z5r%(=NX(Ydj2W=3^F+14mmZi1|1OkQ?NeOQJ1(P^oo(dagI5eTOlDNf3UEm4Qz$7imxs330o&_>`w-qHgixIw zSd8BM;dsf$ABf|l6eI95()vKmMieAO7c;f{#5iwHi zS}3d3S_9umd4`WOS=x^E?tRoq;*OkR=cR=GnN_`EshKCXjc6>~$C945Lc=1Uld`ZzRlV1?8>HYyCWn<{xesU#s z@nvF3X;|J}SM#NiET59aG()u3>L_Es}UX)-SoU$I^um{KPp?fj7N zdRQu7us9Pk>%34I=yO;{p`TFHz|(<1a?A{Y-LxE%EJ7!&m%~HtozGEp7Gn`TupIKH z@sR#07%Z5BilM}woloE7OK+@3o*XsgpO(?!2|Tfkv^mrh$dRj6 zRFm?wp%&zd`f8*4vyq5e((2TcoUxEB9D6)QM_r-hlPx)8e+WOga{~c3))v{sQ}q?t zJca2Eq$TCnCR<11UB>Yh-4x$ny1{jkEV|o_*9C0qOiIG(bfOCO!3F2hT{6{)V7M#~ znVVZz6FQ+U&k?HQq`}#BbAglTZ4I@PSnH!mz6|t;wubJKF57;SE)BDhIM%5Y>h#Fv z<8V(ro^06Nuq0&Q=?MkDwrlz&lgzy{?h!WK?Z5AS&px_9M|ESn8Hc2Kn>Y7dI`8hg zEY|7Jlk*yZ@*U|Vl>|2)5@elmdM0XMY3zS@p)p?@uLAD(I8I14#LXh}WwQ%R6G`@ZlI3z^7bZszaDDNd-2pKVlheM9T;(Lc3nO#nNpBv z9MqbjC$Q|3YQq{K4H4ZbsR+d!+{zT!B~t}%V%7MZHf3k4wZ`*=L6^)WoL7CMis6|} z)p4t^L)uBK3VqpDR&Edz-@^1H783xiX(~{P6*NeLm8t@Lt-TPiD1Ku8R1>s`|5=1BAbfU;yq7+)fRHsI8EkJ?7+NA4pn-W59OC3rE&x1mu z25_0Y>+tKMY7!~3IESlhQ@~GpDl>ga4g?v)zD?R;Ec6!8+6zzrqGVOpAwiO1@8KRG z0kg+2dcv1f4RhC|z}x_}y5vQ)VNV8hO!HW50ClDCA5 zuvX<9;<=iqC6+p5djyVF!%>k4Uj>k6&B}lmlTM1N2Qa_92x(SDRwf%ZO?DCzACv9Q za5`o|w?yhr$W9iDn1$-z$PihSS%GIsx*=I*3ZgR<5+nXkGQzn|R~6!aDyV9fKy{?; zhqm`jdyVwWuq`m_D~s>YfE6!W!*qgrIMifJH0$*i?EXQF;gy=A zC^;{Oy0RjP9kzOb>TW~Al?v%6mM+E#SDEe#9~uP(jHK*r^a7d-Ja1?xt085XUW(~! z%Gu0<^JwO58@#w2H*KuX`R1IBw7qLcl=G0`0T-`&oN!#vnDGL4Oz&=zR*c)Zrg6a4 z2w3|TDHc!=O{I`ZvoThwlhV0~NsOt8mU5Uu#iD7`dAF`atX<#O>Tox;NnV<*G2>Na zQ84A53+lo826Vr-o)bM`S`{nOk~3REE^-mV{gPe15dEG)Ly#MYq^0w$%xpl>gA7%+ ztwEOv3qmyeGo;mj8%a$j351jh5Ea2OLGa_I0s?$Adl{1NdN!Y^R@*{JY#ydr6VD)Z zC+ZBhual{OL$EMg+GlBIC;!jtf&_H`D&so4BytQT-}l9x7?Y>q3z3M7)vm0F(ci_; zFX?0hOY0~CTj#e<%#lc77uSs{ND7F>wT^CcXZ=c-`VV-vpSH&s=AKAx&!fAz2I||} zy>p*-&6JpbGC0BUVx}Ws_RB6Qe>81#hG$?+7zyO%kS=2N#17l{S!{AGJdQ8ijil&> zf>V;k^SN7e&hRoft-W$?8){-XQSt%T9a@X;%hSw9@?wf)jn%$;>^!bWaHR**^U{35yODLFg3%o_3#H}>)V$`6#JZu=`^ zN1(v&K(A30P1i8Uqz`SuHMjld4)0Y`xBN{l{^s1a2VU??lCT$q>EmpG+(P|ZI_VM* zb3^^H%S!g%9;~`0a_(J+d@}Y-aOQxEn0-Oo$yChknFEG> zz`7u>JWHw8VZA`a5A7~1ab((#5O>7!1b)dFlS?huQfbp{Zfk8~wG%|jG%5(<8k?lb zg`h>;AXYQ(dC(l^+8K;NFUyD=lJbW$=i#uxvrM5l1)wlz{Lib(wRk*bl*OV92#NxF zLV!>_JXQ%}Jejb?9dH;6U8|BwmrH`KW*~ZunIg2Q90Xo0=I)Gc^dIA?2e0M);_&*YR(kA`zB3oNFKw?{Wmv(jKj z<(*ug<(8ZU>?C0L8Yz^2CGY<4-+Y?{ghXar*mK?CJ($>tHC!G&DS1F?$0*lR+%EV zCEW&vD579%3f&eRptwRmA;0ehIfHbm7qsrSzpfQ5vj8wR{`?(pb~Ex&p_G14s9ko) z%Dj4tMV=JFvN-3dF)N7Nn<-Ep+MqHayF*IB3brw$`d@3;?b7HWHiF>h zE?O>~m{Ynk01eqvUuuHT+tqHa9Y01IYt`I%_?a0Y`FYce*)X1tdGKwrZg!EbChk@! zQuo{^BcbO#%x?SMKy1bZAL~2H!`vU-iN!Gn`oZDQ$xb)ceTE$?>1J7S_~QC1Qb*(X zE#lbRNSnB_wk9LCn~_!XF;=f@KiSK z66}%Og1h*x603aaKw&QgLaJYfjRf6|n)s-zT!e>j(`vup8$Pd}8H-6B5??u0-mcF! zAkuySo(Z+Zw`oldvhnT#nbX?C;vf>~)MUN#_dPd0<$5LTdbd9l;-CFc^` z&Gd7G)?Cmwi*v@mrsq*D$2FspxZ=s{>qfxcPmw|v!796l1$$p@l6ANbIA6xP6462r z2Ac*a2HQ%(5TtHCR>w+Hyz~g;&9&fY-!sC+L2)IV{|*#Rn*O+Ngej{%wh8-P+=v; z&G@O6f9|;+j;!>#^%3L6T3S|lU)A_nD+8fHpX?|XOUZz@yWUu5d7#e9J85Ij!>MX` zNW-Ykbv%7Z2RhOuzB;b)QgvT_t zd;&^aA%ZrA$+Lk%J7581_@g)YyZ0#=AgbmIPqg&Y$i$8F1Kk#Ffl$Bwz00z@14UgY zDnA12aucbNJ@LWw`O`kP4lz?C<` zXYU6?R3b?KmVd)L=hKWwts!?A2Wt`!)=3{{sLT{1$$G=!>5|6WWpU509mC@=-K;hs zOzgoENR^yh_=ua7-4En$CgCQ>a*@Xug!}!8@c+h$@hd!+T+eC*PQ zLPx;&uH_<;=LX|mza&lGIPTmRcO|;)Xx2S zp2im)*eZjO7S*LUP*~{0=-Qm~6*)iO;+9wNm=L!TP{jfTyAK{sT?~1aos2I6g z+z4_pM-zt0L`IcmJ4+OKK3pQt&J64Iy+Zyg0el;8?TMoyhx1B}I=pV(E6iqq%o1Cf z(PH06nv63Wawr5g=}eFhCyvZ)b6IIO0{ssq|13jRMN6lH8W7FJ={1i_!Llui`j08MU znQDEc+eugfeL>9LR+N;ovlw^`Vfo4Qsmo+@)~}I9Bt~?q&o6S%DV^ z(QlwUIQ&ATw6UDbq*lhPpkwbaw}7s(yP4Y-?KY7zrC7*9c5bc=*0**op_XJ zxN>(aL?+Uf1oqL_%dkj{SynI$O5)!ZSZcbrdof&wKAHqke&iak_)3>Na_pN zj3dr&uD#M{O8Fa4U9b&AZ&=}H;@zGpldLwiz)#aZ{7-?tmTeulZ!4Y;?o+Ly**z*t zCD#*>Z}#d_+g6tT9m!(A{f?dOZXQn;F#UqZ z2s0{gQ0+yq%;PW#26umpY`E+4$G#w5uJp&e^$R<%afiluIh z;++?V;e)L***_-PvZ+?d#@wE%vfcu~D8j(Fk4ko8Sb^VRLl(2)!DLIz5{@h~v`@v|o7r_zcW zuhW|Hw=BSPfH(1F4N}Nifho4+)*}cMaCBn_X~?fn$yaA=M43J)vnzy7s9$r+RluEp zl86c5@I1XC#wnQfSYQZ~mP1mPDOnG!kr;~ZoI@L*!kgY(2f4w{tTfVS} zMVYZ_ZA3}pcoWDK$ug2Vko>3SB*x2zs#7jAJPjLh^8@gHbL1Z?_QiAn@4b*J9z1h8 zQF{WR`-7|^Q&|{C0dG-E?YmVF`8H!^Xrs2Wy#kOTvNY#f(KQEBtD90hbxxIL$W91& z%UXfsWrEI5+<2!V8_V;otq0|!?w;B{9z$AhD6)m`jk-^ya}~jTTw;c2m45=i@dobj%t=o>6fs> z3{itAez}L{z4PBi&Z6_FnZkO3i6GD#XJq7EuK3Gl8VkmBrQ&0J zWH$Wp>!(z&dqObj*zM*5apr$!zn^oTq?v2Z1F(mtYfR|Xzt1m>{g+)K z_EbSZ%AM+%@oZFx5P}y|#KTxHIX(g>?Z03WNJHci}U;Cs)Q19F;XuNU%#ezxe8-Ax<0*|Msu>85-kq zPu&}7jUCT?OKDQ-{+3pVi6PDOw>cl+p3H%bG}v9?Nn|_-pB3_N#0(9@H=;zPxbZ47N04kb zC9%DXvd7UJRA&dIRl=~#;~kHhpr~OPkGe$V%#??m)BW{;W0FS~B9zlBdA5Xt)6@i> zLdg47}ogDUGgFBg$7LXb(aXA9xj zIu153_U&y+^kbY(isJ-S6J=hMUmDZAgVdtC4`W(!=XrFCohH)ZX%~Xe++EEn5cg1H zGli7QadZdhVKJ7vJ6X{eu`FVQg;Y{;O>p$ZBArt$K5Gc=pC1sXP!#uuvJ##8oY>dh za|7W*n{ZFL_Z8%Hf^vBxofB!x4r&tI&lYjw%vvM!1KegUH5hwTNvx?eU$=%^9Ht!J zm*3}}H+3d`0D?^4epS&J|1L}PM`}yMb_l*lx2;Iz)Qu+DO^$=tg44O5x_!suVI6H^ z4{K5q_X#FC$?N4H;#~f*+|9{@79QAb$_X=)z1PGEbmPIa?so2TyP5L@s|^JA!5>`U zMd}XygDaRQX^iXviCbMxhFtmkAfjE^Wm=Kk`hgP@90pm5Z>Fqy-_H6llC7J!Z^2he z82n)3r=L$!`^2xi?BRcMiGKa!eCB?cn;Eg};g1GI*3CV`cRdocp}o?K1q(I?DGSo9 z@i>z0C`A4UXHsyyT`H68ViNb0Zq#g0!2HRL-RLG8u)3MXQNOdDUaQGiqvj$+PpG{z zxI+)Wq7+QZJ#lPc{=~qJ;I80<%f=6CS!3{ZeyaHWnOl4E62ZmCvx?WN@=@M?woV|% z=#@uZawXZ;!QGa~Bp)-XQ&DB_`hVQ{Uf6aPf;?v^M99sdRSTXF#i-yKe&uucEf(9%@7&#gklIn zm<;(4E0j_Q(-RW6(s1g#J7qbhZ2q$k-OA2V|QLr3bi!^f>tw+u)sETb-;5?H za|6y%r5fkz49CbeGvlPU60)gFZD?UC`LdVaWb_FpI;q#oAr(EOdch<@L_W{^HT`d2RsJN-p?FNVzLJp-e&&cj~8hJ ztY%dCbc_3(7k4#1g}*jJcH=v8^>Q(NC<)Cgb{*4UB}wb}_Q68Ig+{)tbFKw)f~>gl z)095BZBK@LLT;nzhP_cCQi}(9CdKK*OJy1%@|GLk?IGdY2SL*o7>lUlS%U(pp|S%l zNaN3bG{Pmm&uqbosJc;vi|&AX4u^O${ov5fO7>NDf3}|w$*HBfD0;#x(9HuEUX>PK z`ZC4)N1x0z_e+tq(aNr7&T%?X|C*roT$4kCJm2}{K4(;Z^W-%hS%d8S(meoo^%$rA za8`q$O=HyCC!GwB<1~3Tr()vi2}abWsIKKn+#Ts$(yB2cjJaiD*`soa{ct=`Qd^hH^(4f|nDe9+0;}w4rN*SLW?~CzECJL%sbikyd6TTKF zbHQE{)WMNh@5|~G+lh+5Ip}siFhPZ-V)O%^-}H3joQ|BI zMAM2fj#l8#6oS)1o}0fTBzYMsj}H;`at(G46xCPpgI8{vJdH{MoM?>nG@VL4&I*Rd z=iAO+_AF7g;Zo{|si#qEGj{P~&!K*$9taH}WcP$vjEtr&Q5uCg>gA$`(T<0~xuYLb zKm`H(%Li$p4J9KI4$>aBDFp12C#!?VVuVp8>gvsH6`z}9)=IFHg2NS8X?B$3$FQ+5kDbMPpo_ywQzT9n#)pf~{ z{PazyUHYU7=z}F>ao&|MbwlqP6?^ z{L}*rce#T%yuK%|NH96{$gDM5Np6=gf6`41jq4C9y_l(ju87jO; zHc`8~UBf^tw`#V=vr!>J2)3put0^SEdvO}8w#ThKD$S^#9Ia(;JHKVJ@*tQi??_zZ z?-1?Z#UGD_t_L)JlT-hP{^0`tnf-^-?{q`U}Is)YYf-UWq*J zUCuShW`BwrNu##?$}}-GriF1Hcp3Zg(=$w!gLuXgNpVv~X2SFj`XHcEhO!t-IYGL4 z6z;`__xTZPE{*BvcEpy7WfpW@H}g-qz#pKwL}VaOH+TnR(-2rnnpqxPLkw$aC5-j} zv_l4W?Y{Je6}B~u2#i3!to-Ao0AEHyE*)z~sD)Cr!kgYOlWZ0sMg|_zbJ61x9A_#- zB%9z(8d06~3LfC0!;QU@{CkX|R=x&Eeo95Ia&L6Y^U=rGmxa|!-jGJ8q9 zsJx!m6=6=Mmr;&v5g)TkC5w24xdb24DnpK5;2{Viij`H47+(%RZj(cmuYYK>Frs)x zvyQQje}`wsA*!C>MWHCHGbOq%!Q*rW-K?pH&UKSv*@-ijc-mS+$CPTc`7uWG)-*k4 zBZYy!T^WP0mBFhA)JQ35NlAnB?I*Z-(FRDCyBCuNy;QaxUJr3PIGtT-R4wQt04X%J!F$mg^u z@+zM`z($?0(*#SIg=Y)4xaf#Pb=E+dXF^*`3NfB|c#+8gi%p@Bg=itV`Zk)9U)h_3 zM@t@oW@sh{+7Fw`qQLdg!qcJr6rN+9O~9PyvAjVmEfjVxLF_tGYO)l;hTH)@>R3im z>04Z2Yw1Sbj}w%q*VW$amY;Vs6=e^L(+Q#|TCYMM`^m{^W(f94Yv7zBAk4DnV1uEr zABlIv;p?h5p(z{pD~qq}F_Fm%H+wkB0_SJ;7LcZELVn zI>543Ukc^cx}0T5`IGLd1OEQ}W8S_02Ukf0y@4N}h%Y_jPTpgzxa#mKSS?#p9H*so zdbkM})wCn0FORv6qz1x~Tj%9aQfDYc0Rk^$0|jlqqp~0-*Ss)O{_F)Q(QD)_a5ng{ zWcM_-mRGsqnElB=aQ8iOsE?EBM9=k2zS=}QD9`}oDXEct$0y-P(Y@GW08#T7FW$6xI*4YG&)`EGi`Z_E3pSpCI4Qyu$ra z>kro@u#W!4&d_=TdOuC4_w@c$ON$7@%SS`T;>ZQOUZ_^heTI#ONUFo7X9-!nrpCi1 z%I_I4Zo)Gk*?QSCu_sq^jGw%O7=jTPCRwlc1$le#DTq8On4Qpti&VjZG@%<@A*o^Y z3B5q??Qez%jfZTGUFIgLVc*Mwkdt*Ok4*}EIzpp{m!~YytF#DwIS_~>9e5srsi)w- zeRRq%m3ViI;xg`_j?%3{AzO9liNY zNC!-hrcn9P9Pw3PUg~)*k;NzQ5D+&Sl!(*%uqnsOh7FNZ&ZkOmi#(nO?y>kM5JZqs zicyillS{pLbDheMdn*V87#m-)+Ukf(sI$t|PBZNECJ{hiE5C{zr$j<@14Az4^ok$l z;l&I$7E&iiuBZLi?u~`AJr7oBtqf7oUSOLbHq)RiIJs~`YW*^<3NJ~y< zaop3)6YQhcO^&+vfjV8z)6`dmr;;SS?^mEy-`+n+4?*NGkEIcJsOh zxT9}*eWBS8UG6SF-iw*SjTu!w^89Y!c;;F+L-$kYWQ!zqno-3!GmuS-_FD8ca!2gO z*7RWtk?3BI^$L-HGa#c6t>IPUqS~tL=bRS-!?~Lf+zn%?pJhdpM5=@`cyc$5sh=)A z&wW|-m6lIVT^`C@z6v1`BLQk}i=^&ffuAZ3RFp0riYB8oy}891pFvlXUi0@WhJ*?{an2OSb9G-J7I>VJ^>XwHF^iki!m5N?or3j` z;|UcescelTxdL2!300_^)YJ3=sR9V2P0XkS0J+_$*@iMUQ@_ z+7Z0iDhcCX$yUj^fcq?g>BvC1(wDmW*7o$mqt+Ux@n(AZI~eQec?WZPO3VNYn+QrFhEwsYK1zNv^Egw=l8r8|!L z@rKLi;I*LhA_q}bqm~D^?-u7;Ob>F82+NN#9b#Xp)Nr?S1Mx?Y_?8E2qYa9Dj+=st zZ~XO8nhm}xjmf@t;WylFT^jwLdE3?K#w&&)`uu7was0@IedGVL#=;E8+9lU(9LW@` zPNgf*tR#h$F7Y?qe04@Pwna)BP_dk$5CsUljP(?aj>!IhK(IaZ#;Ay zY-=cZZZhOBI575<%M5!K%%00`OO|nWhd_H~>gD{M*CYGK^D7#mmbZTM;`G9?X9h;^ zPO|3Xg9o^_O(d_n%8}(BFzlN5lZ6!BPG1M@)ZqRsRefoF?ee@9tY&qcbpe>AHFX$f zc;o{bLx6R;-AZL5+X|*S0U(6}_s#nQ7& z=@-&Op5D-6y2J>O+c7@+yZx%=Ie&{=82KXag!2#P_3#{}1W5>QAF$rc$~^K-L2PwY z{Vyfb0EK!ry=YwJU!!RP;!5cKZpA*QvsTo&vjE1g5~@bbj&Oy@OFQ9RN_myijUYz2 zaWpF`?JV)_wWdiu!Ha^Xpv|H&elgtCTV<@5h^Wj(6fSDdBho>UW7gb4AAl)H#-a~=Z`F|=Y%Tkr8 zWX#Q?B80@F(jY5I{7L3=I4q;QJTrqO49j$)a)h~pege2-xI>r;T zKbTOO%&0PkJtCgJJQkePrqH~8Y&ZgbxSAu&XeneZF5My2ZmEuceK0KU1G)#s1u`cpGsUm+K7U4@A;` z%}Jgses(k~rBLK9@XP;{4&HWxTXB?Z{VxZ-Vdr-pTu`zhU)Ytpkq@|Iw>BqC6g}ba z{GE(*?B;#*1!~s#pdV0qOp}xJ#?@GXB8#~1b%KsnOiz7l#ukKLpW8DO664A6GL*R& ztIU}~2Cs?LCSIov!^QVJ<=kV99lO)PYf|^Ka|15kt-7+m56}kG zCmwq=ThI*iFcD&Vp>=q}qmR?w7rsC0?*7E}%X0UGscYGE%>T*xd66PQ+yUhiJD0W= z_{s;2+8U~J_TW_^Be#VnUkZpJhP{Q#6w({6eRd)ei6M{A-?M$s?q~M7Bs|OM&WY61 z2E9qsMfh;xN1xf3&~SPHE5)3tP3_cc5%RQaBDN{Yq*4)--OS3~*}GbAa3P|)8CMfn zaEsvLu`4@2x8&(Kg7&E3$pIHdXQ0Is(v^bIyCROj)KXCQOMrG&;)5%PBfwRx>g{T%&fOVwH`iokqfsqKbc z?BwH=eHyn#Ogs3c+omYeOIVZ(hVcz!>81ycn6^(FL+{ok1z`j7g|&e0E+YdrLaxk{ zfWY&FAO{(cXYdLP+`XYG5{bMXBCirrF+@H$yPo7M-J{bT2BnxeS+S}E8k-y^RNqDl zEXAN-^lar-GbcYRh$s*)Lo8-n9AW(?s`IiqH3no6QWkkAV&v| zREngMog*-=P~(eysh|zR=_%&r5p(;S+Q`z*{f(2n19@G;X&R3uL%yek`Pzvu75OF>@7(V1wu)<5z+a%*rl5wcw)eRPZR z(=>^rZEuC`)9NT+ictBrY^bmiCYW9vNbs_$!_ILmKJMJ=bLrEOqXdJq7-nqxi<%H9$1X6SD+!}$g*?KvY#WNJ50D+gWo+7Av zUd_a5Q6Vb-ziiGp_tpKTCI0j(#|jqjio2C%T=vA8_x& zB4?0l88%Ho$z8fMd6Zi(2PA7M6Jj$kkS%xmZ7ZDyo!hXb^?L`E1nKy)Q^E~cs#Z7J zJZw}ainLXWsl>1?4Rv{|`+Oc3FQ;Rnn~0Zqyd0zM?fHrv61_J}wMibHY{_{gb4+Ou z;Bc9s!w31Kmp(hw7Xdp_!) z%Bt0OdS>)9FrmbRVuXS+4TDvz;NZ#DHafy#ne#{R&I-2?s!F&K*~3R`YFFM!8LO({ zoT?(ydSdd?8!#L6Q7e+INu65mowFD_NVfS&ayIL6bs)sbYMB=%R?xM?gxUn)BxXpF zwmkaikOaBf(LHW1qS1UNvc*1YNZLBtjMM#gEuSiE44HKeFU-7};ETdGQm*;*Hq<0@ zny4(uW_w@P7fTGTs-LDT^;J;~LZGBwrwBh@24IG$&w_n4>r=!|)GZvgyyM9YK~*J5 zth|fRNXHv;OIv#me1T4hv7ui(ycGhI;7Kjz zRT=I&Q@i|uDj>!^!M;*q_YS(p)PSZTS7=XY92@mUve`W!ck^6^>EHg&Hzp&J{mEyB z?%R9A3;FIZ9g79hlT}F&v+yw&8c0y%)}i+>(=#LNu{jZ-nL5_b{@+QaWIOuE+7-k= zDu>R?v7SW!&B5O?KxzwNLnz;FMDFfeuP8f%Hm}Guu}{aj#fB)|Jtz02I`g_{%X}De zVa5#cJv8hee{9o^oCqrNh!@d6BR5b8f)5 z*+?M*4H<2S>9;-6rp6`-Xpf3*L&#R12E__xn$ew4FszH`NLpsVY9yDXG{68>?-6^$ z3?x=X*NlH!7Uvx+gc>Xs+4yo)=9rZ!v4Yo&QX;e)7@Endfna$Kp02^;c{V`mEAN?# z+(6f#M_sdM( zS$nv5aJ4rLRJFR;^M#7GhegE!q>lwTHO)|dF&Z3*VL^lX$D=ZZ?Gq;EB4N9_c&ca+ zW`UnOF*)3FTx~?>SsP@xnVZgvSF+17?2f9A74Fo|bRLK2ao+TER<~b8!#ogZmt0`- z~vagN;v-Y}Q7SlC{090Ds&zG5cF@le_tOcf(_ z-z~+-_z_(p3j1*oN0VlW7OGExpI8Txi??K5;^F09;XDr2-XxN&*5rL??=QK9NyQ+b zxQ(5&`rrYVH-2x2JN{d{(l>8=H`{Q1fFFC`LUW#kTy5XNH%QjLg0l1rWBK&=lcu|K zKS$6$>RRz92P714 z{sC8fh%9A`)JF6$zl_?_pxAI%Z-3<2K)%Fj_nXeBASSBKRi=>5K=&lN;bOaZIpe9j zle-pO@p;W^z5CWDJE8{yf%FJi(HDzlg_eMwk1mB^OUHEkG7eT@k-lq=3_64y0w?r6xm*Q zd67_yB81A$taJoVvP$UeA>%;Pry6iq^W>Av$<)($)#JcKDv;aA3yQK$$nFWeua$01a*35|$Vg>y4-rM6w@m5$ zl~4#&xMvR}WXf?T*GLe=RuTv~B@a+42y9)|L=d8ArF(0Wt03$iT2~`;c3fl~rU4Jg zyrE1gxxQfzAqx-rEOK6m7%}!^AOep78K+jD+6b{4Y((|#3-L50n5!w7S_P7yLm!8O{h#DWID&C_Dm@SBp#SL5+++V z8^zW!YcE0q^ilhiWj{9qhTkz^Z|2gzMm`d8V}G6`AJlz`Z{@AuyHEMKPqf|^7c_p7 z*G&ih^jGpXfA$^ju0ZWz%d9s*==1dA%-wXHC=-K4TpW2KretdQ{))rM^Xb(5>b2!H z?aHkwKvmAmL8f9m3i7lSBDcWHcrk@;elT(OZ6-FbD}Ki`_Ka2sKs&%q|F=1Q0C>Plta`|yv8ks2M2QndCCzX41OvuY5mym&H z^85(NJ0_Eqb1Q#L2nl|EP^D1CEkk0zNgf106Tf<&@9Mpej%K8Dj_h&eE~$U5UcI{4 z>fXKk*Y30WR*|c>6EvxYb6Te2{+M>P_ zqnv^Qj7Q-QWOT>YjVaIzUQC(P{(=gk;^^^<3KX1|C#y>7xZ*j3>oFEVx|FTbNvMb+ zT^ETE+u`Aphs>wP!II|WelT$e%5|(=@f33~>;(H91$$|Yg#Dn+tV2oFY+Ej*12-WF zrY#kBU05g*?>21HS`lpDVB!#@mt!i@SYxJz>jOMcO1h!KZr?zq{}bF-s+xG+)E zfCyf9@rV4Dt+L(`poP#wn!SKhA7)Xat&Wy*m3+ab*Fwm^07aIrX^a-Y@*>P|s&_(H z2VIj8t!g+Agj~num_QK{Apgc>TE3`J6ok)iqXpygKY6DQqEgUsy{v1cV3iFXk!~+< z*3_DW6XBM7qWtX;A?iFiKtqw1etg47$K4zfHcnbhb(1n?sv{=jQY7 z231N&di*EF(Kj?aXLrNPX~+U-fyXnp2-0455kEDowlDy!-QiG7Xz;lbFT6dgBkl&& zV~k5*hW`u3KzAt&(aX|cu90nMur^lwpePd)vQeSDFrONBMbry-Gg9b5Ac{z;@$!hH z4%#+h6je;+6~F$&OI{039opSUtxnsE6-d;N#w4-4B5zVwaJ z0`0iNN84H1`0cm3g+1@foy60(&gJam_61Wq6Is_vPo9+sOo3nLF5}p92#|Zzw1ld7 zwC}riu_$iK=RG)+RuMq~k#>aIq7cOj&ZC(9c9ykj?t$zQ$x}vs>cp70pL8c8rH$F| zbA0zF=G`Md`Q@9uo$poI8`uBsDp5mYjX%FF4=THf z+mE9N+rRqbdC;W=zRg!X@UlsEW@4X}MDV&S+F&l?XC5x;0LAUBGEr;~Q-_#l)LZO$ zO!RysWyMc~+QM5WX?fGCTHDAX*7Z*l0f19b9G*nY#O#eJCM!(xcjkEoTac%qsxBY6pzY&fN+5}YG zz->FLr1I4N)WO1)(?}Ay6QC^7IFC<5n`FBK1_99?r{y|bX>CaP8QfnKc?^~MYUHzb z=i+&3;Vt$=_)Z)FYXc1`FkClHEHc{(>JG_H9*ETz8!gn0#(2inmgHGSD3I&XG6T`o zeiiBijoeLkzPu4XgCOoOZn|LX6x7Gw0R)y&cNfJNLV8Pr zexRRP8~@mCu}QC?9=gi^L1V+xTgIFF*)W=^>L!|4!VfTHp7S8}1q zQzCZy0aB~`ppep@%+Q#9YX40xFB&OV710l(d+g0Dm$MDj4#U3u<(sBd<t(mx@2wo;oUi|p$8X~R%9rycm3oTLm$Rv( zLQA<+5Z%ioHoKa{4$NDub^v~5$Ysl&NUnUyFF}rZFu9wLngVKhg{&*kM;_H0vU8I) zwzuo0@_d*H)RI=`yC67EC;W*n%-~*19rQ?fCBbSe^K=`;&Tf zytLJTq?Uj)#d%+F)J}qsPlD0SNWh!~=2zYag3=v?XA9=bEUK$Z+I+8StS?@>28zf1 zwU$(+Tvzd@jZ3Wgd4ihk><;2~Wlqjgy zJW`;C9TgXgfVOlm3b__My?}Oq2zzZS?-m--!%J)yc+nUmV-Ymy@fbwmKUQV6xPh#& z6V=16oh0@Z1({1IgBEr$pDZrM@)?Vv4|oDItji@$6X*pDm*$9q@7PM!I~2B!7S{6_VRw#a=TX!(9`smt zToR_;3-dVlW!~#YU&8Nb$Kc{)n%xcpUxVn_AB2(kUH0WRGWYtEKUIx(AG(r<{>P>c z#D7Q=-GSBR;(yKU%U{2?=pJ>)Q8u8O3jr%BvuNbulVPChBuzI&1ymR>58Uh;WmZ18 zMFxae>gwa{^eYwArH7%3kCu>bRLhQidVaMSxzg!p$Kw~`XyaE!*AvJtzUI`snJU?HX+%}wpNr#F%CKT( z#mB?%8AN-@0nB;oT=ke>7UvyA#S#zQTd`h6^mBYou!3hg)Q16$m9mP8SM3Z0%3TFs zAVtuBJ0~PQZA=%RVy)&`%cuC~0-PnTk_VN7%yn3`s+C~F1W(whJsVJ}t5;cwtEaj( zejC?#H|#qdVkHt##JcESGT&#}r>rf$hvkzhq!$+x!?d~;v;`rk@{l)jR@KI%QK9Dw zeui2ZuDLYvFyAmiRP?Dj!dx(6mFf$gV3UB{zCZ@Ui~y&~!-%mzkLijLB@5)E_B*3M zmxK!L4C_!vb$m*)F1m;*nZKIGt>+Pz4x-#kqtYwZAlCkZ)ytoc$R(&T<3+9r#B!s{CL>>yck>2H8}P)~XP9P~M@B$)P;$E64r?- zH=6dbQ?+?{#6=>vA3TxTzUn6mIsWP3b2roExwwQpZAnBC?(e=eS~lKvWpJ!OgwtPq zoz{!{L%i>bthnjr-k*AU*{%HkzJqU8BbdiDISTA&Ka;Y3)6R8yP9Ewknyq{D)r@t8 zn~!<+C$Dy6CoWmDy%3gQD6|V-iX$1{X82SGm9#F1m*Q(_owD^9Q%H(CpMt}MoJo8! zU%RVO1p)ykjbm*~)vCCABCKaD%Aqy4vKHKn-}(1LF1(gsY99dN*q{IBBEI~O!s?&T z5Br0Rg34oGzuF2mIq~}J$iuTQamv;v=zai(Cggo0)UID^Eps^qiHOjW*h|yA1>xs^ z=l>|i`B{<}jTFnvQwimQ0+4N0nULFo*6gEOx^YwD02x_Y7cal&9dZqPba$7ns8!N% z>tuA_kbe8XG39VAM7m6OgRctNspD+nogt-LBXDN8h=huS>0`(04eX)gUM_4u#=2@M zrP@i?%>4-Ol8y9!npMnmg_c&D&MBsMO|+M$IbHlCI*d#?_;9)%PT@Y>6}i1PfG(*$N1d-+2z3Le0$A z71YtA8c}djO|4`LdeNTRbCRAPoMtVHIj|2oXR&A#MdtuWP$s<2F`G^Id>`R;MF|Ii_<)PGRbdisIy1UeS&p0L)!_{0t6@1cPleYFej zzRmhF(w(e8M1D57;_g>9{PL0I=)S_oA>o!n5!8Zu=ttEaiUmXTwGbF;=i`x8(Z-Rr z34O*5+IVf;>!zYT5{m73rrm3_O))S;QCdFoNHt=0*TzuR{Dn(`z3HfL*2&)~BFEh| zjt&2k&yn_oc6fz%^M65yzV~hHeVnF1q8SA4Ymdf}J6~M-&pbBSjzbsat(U$(gh0}< z{HjJVyWtnw1TH31%NKtyh$B$>V_)Y~Cn6MgJ;ThMmRiD#RV(h{eBqI(^le#U=rQXL z?+bQ*C=f86bsBU{VhX8?Ag!K9(fYHiCT$a@y~dKSu9g&uzIi0ugIf8aAkqETE9Ra1 z)63nIwEG1#7)CD}pqfew$}g_jPU5L|emJ&OFg{!hx(-f9Qy8-YYFoQ zmu$Kbn8mCNvnP7_-Kyl63pj}Dvj2I2RyqAY;t$_pu&QpkxJ3$QbLGB_@bSUE1 z!%TEg}I1`UR5w95bIIcMJzcoVq?nM?5Vn35$^}2gedKScy_A_%_FTv}ARjVizQOlE4(nMQzk z2^G;i8o9Nys+U#lrmASj74>s-La5T~SvK}{!S6xLaXlNTD!4)pK+P@_NQ22{N zQV{TXtl9)1W9WQLDYPJ9`8BDjMf?HN7lJ6PJn~QsEkn)W)zU?WTR1*>lO2+iO?M$_a2E)|_vvTKmLGdH z8b~nzoyjSD1wS{y9oT1cH=LbLd%ph6ABR{`^>M`Q$vY;e!}V?jZ>8IP$<6b>T3GN8UPFGTK-;b7Z)%lJ#Xsi3=0q5q6Fw0_UjwJ3!_d;jA;e2)3!^ ze9VVA#1948a$ONmilhw~6QO==J0!+Uu>#4zJ;gW=Q}ZlpsYyh+ypQ?tF}L*ascRPk z1<6kQ^}g(S)9%ABh<9InFey@;dqGp$KKK-)Alxy^?q7B`OFh-t`^A!a~UeZc$OKEp!m8Cc(*aq6d#ZU3W z9n%*rNKu<`C3L$F4R~ZJE~Zj0iRz=n)mZGhy0wRtV-F_hMv=V=9Hk)&34J1oqLx zrIQVwb>|nGG%J-T{T7CNFU1(fQNV8bMYSD-wy=zVVmGx{dj$*^%!`#6*P)$UQ3Y0s zEG=y^L=ZDD9LqpOwbCv~K}B>SpS@QtlWulSg_seWAkIOL+z-YXzFRcGmK6g;St>!; zD_lX<4p;s8MMRC^%B0}01%Hjig{LEtv>k%Q)on}qa9QcJ-_;O8W z)phV186!xWetA4Id=_Y>4Fs$~ON6KZ*={OC2#=|SjQDGcb>gjCJ zWt8+H4$jrs0cM^DBE;+rc9RWgz1$Kg>G|VmoO!8%TiSe3H}% z6txWm7J!$)hcaBRGEhy+nPJZSu@Ba0moA@Qb4Oxy;RnhHg?GoUO8r6g@F>~NfE?bH z`^wz?a_)`HUz|%0$2lr~(}tA>MpQn%dM$ns>$=9RBAaL)Sh3!M(V4*+H+AK?eU(Kt zQ~YzV5kx-$62yWAQ_L~?DCxO?j3(|1G_;CfevM|7NvZCmiMm)HRaDr@m7i@1ZsIJ_!o1~SOox~k66%HS7bwb4|QTrk(Z%kXUuN0BFmwo^Ou zd0AO)1kk$qON;yyU z!WXS%sg#{ci;)Rmn=B^>;IuoEC~_&l%L7ES`Q1=l^@+ulChQb1{0f+9#d7D&g4+Bk z1^NQQM2G_71$$DupN{Ck5~~cV*RDK0Al7j-coYz6g8CSrF2>LX2iLpOFO ze@fm~q68|bGYhwCL}kiPBzjqG3D)RcxyP;dK>Qea)&hBsSU&>icv*X|EzIOdSLAEinbT>6eBF{OhGrT== zZiUR3AQ=o0q2(XB!$c_A#hTYW4+Xnx{?zZj&gr+|$c6V#VZW_l(#D7Pb&f!#_Gije zep?h$7eGRuPr-;v!x6V6m1#|E_GC&h)L2>lrT3Oa`&1sU8Tu2x;OJ-Oj5x0YVN zy(ZWqEkDWE^1>?48i)d0NTf1@l)MggyI0a>p9K*ah})G2bCZe=Y^h|ib&;EC|6Ji( z?{QJs4tV*LXFmuK_)VfGGM?l*Wvg)cv3-`^%qGbozSjSp-hSFaY%nNnx)y)oi7|Hw z%=xg?QN;Oi-58%*SvRW7KTKYca8QHOKD((tD!{MI)!OLFs;#J9f{z+ZitHg3N-I7xL@Wqs zfZ*nRRixpTf~!48mkk*MlGJD;P%=JFerJ=fQa6}&t@p6EQ+C&*eXCsI(%# z(`|%%IAlS^WI=Zu8f=K&s8d8EMQo5c7*34Uq(<1wYPy=IZgyNxgPhCZv!PU5cheb~Hf>e-PSQ#QBRS`KMkV~$0=1nB3I0Mddp5jcEm5pe zTUZTOVEu<-0wFbAgN~<0?BrT{B5QY(E>>QztOn+{f0IG-S!>iFt%mb+@^#ceu2GAh z7zGRs)G>u^s+6p9W{2q*+hDct6P#zo+UWwt2B(>W<~l7fk@>%y;2Tm%mAnt|Kp4*t zx)Gi}-Q&2rhXFLda;k*GyeB4#`^BA;W03R(eT$j9LrlfDVlrlkbkM0wzuD(8{O(ggn=~Poq~q2x-JAQ z#p*aagsb1F+UdRE&leBk-2p$Bb-;Z-rSY5&jCiT0mOHl3Elujmgwr~t7bwQD-)RMk zw&wOzjBpi z%K^({I^a9L^_%k03H0|!GNYG%RjP>L)F{({v-``K`Eq#AzZAvMR8{RuZz{89JNwA7 zU%mL?Cz-ah{PS+xX5o|X54(}^r_$M0@#qDSq`uucV0ABjH??};3errU-QzXG?Hq#X z#itV&@HO9fWmt!$JN@n-ZH#a+QF$YQT%+Y*!w8Tz1bHOw#J@NW?f}Zx%R{|w?XSD5 zX?qHBZ-0^T$f3`rDR=z+eJf(fSK{iT+@d<#*{AnpkqdyDk-(6SmQ3Lp2sFRf4`_m-E{iPrJqC_NXhG?ID{2 z1Y&&1(lxSbAe`q(pC4>C5RqWEs!WKUuoNz9#r%n)>JI-g8uf;XRKwH=RZw9fXL2QK ztRs85OFCU2?W=jnW--HV$~5N29{&@9$EkKm^ukc=fbgMIxM5G4#^MXIBXk_T{E`@^ z`k2Vo<|-JTer2NX7O9RL&~2ewX#rglP>9f-LGAr}awEiz58 z>h4S)!{YTmi_06==fPIuD38m78x)YDZMr7GTa^f|HDh=1A5oY`1Zpzq%j1|dK_2}`mpzywoyIgE;h(YO zARx+!2^fa0KrO){{&r%e+ycQt0rkY1R_F58gF>E#7rBAxZNY@xHfBI%#US#Vd!`H1 zo}LN8=5{eM2eo-o1& zoP!=P=u1zwtL|+#pbF<7$&1m*a-@qtmrmKck7`Rfs4x&3Yz%Mxk*x~7ofCvKEB6;y zRU%ZSw}$)bP&Tr`mP1(D6h0C3wCyMEpjb01)vcQe<$ttLt+y1w_8s3~9&0Khl}1$P zh;cRwpvzl(nASr>ZnU$!q|0nG7~nK?k2_hQ~e*w0X-5~1NP`($7q znP7{w>Q+{SC)=bqwXQ8B;#Px~=RAsuf61n_-{F;??QUe8$)&OmpKt-nVBA~qYy_9_$E&hVPox-8C!4JvPw z75jeyc^Y5YJMT`uEeh|7qoWc1Y5B71%0-$I8NrTL)NtwU^3%bMRP)qE{QK1)`kYbF zUEzs2H}biC1(#>X*&VrTM#Po!#@NX@5K%JHi`nCEpLCqpSz^~r(A`8fe|kE_JxjZ+ z4;kozYB=BhcAZ>eDK})i?Q++gu%V%^v$?kR^mEaz)N8ObliYc}%SoVzlZx?d0|_2mdK z&3CE=YER#*9fm~f@|Z?kV-y(**L0JNs-(7ofF@Ihydou41I|-xggupdKIj(EP5i*Q zoWrOZ1_Wa@(G>~9IHz#l4w~wuCZ`8nq-;-0+5tdoBI}szEU6Mm?SdeSakdqGO@V=7 zq{D<-u0#(bUg>M@UAzJjp)36Cyhv?Ks_eEfiFK$b*(hzRan$20kLo(2*JRtdkqiuI z2U91vqhKWiwDjKEE_z!W`qi9UTRY2>xIruey=(`z$fJ@DRN7ARZ9QI0=P(V{JJat( z67VkmCoPsO$9!?`(4LcT3$yM^w}nmt{K@0X5xN232A=5Sndi=ujxJ1nD*KH4%2hmj z`xyBj_XF^l5oBDwUHeb`j%v zy;^6DR!aSZNTKPO=NoNCR1>qLh`+th?HT_T1sS=e48*uI+VcLT_f-83h#eu2$)5KW zd`^G0%ieNjdAG7{V=~xj9+}1Ai#Uz)KIi%39_oHT(M6Tr&NV*p^6{OcOy6&7%rY7Y z+RI6zZGKtne-yx%Gh4D=lY4C75`8#jP^t z8M^aTS-gi1d07_|uVehkt#hqO(e#6mIqxCTl<$&Xe9wwaH5x-#q@xgz%wOY5YU*Hf zX}=q18B3(Sfv0~4UUo<);5K>UK6Lo$!ku0pIarOTu97kjOcL9X_MHl#<;@1Ny~;$^ z6UvP%eVl)SQ_w)ch$_nSS>2<;l5sfA?ZFJ#&j{&d%|9{ZsnNlJ0tTauA4(lbX#Mk? zO3lFk1L@$3iyB5>Ie?~&k*u>Csn@W$H@oxkTfl_tm$rVapC|?{Yt3*+H!`Nwq`aYi zbyj;mrIb}>YgyC>J-ma7)L|IEs2UZ_WA>Q97VK?BMf1EAs!B4^3sGQ;CC>d@>gUHW zl%qeyMB=S|$o~mWqHEO7&wRV+8NX~_DpkgFg#d%86)ef2hjT&q!cyvZKPI$@RW{QZ zs)~4kmbTeagOY~Gad&)w9#JXK*0x4nf#$PpEZMfVK^7o(HBN^UF73P3gh_$t#8ckwd3 z-BCSoRX3k1mrzIJgYi^~L15NYe`_PSx8A@f4HJvYp=s$9vBno0F8j&}zX&S0GglT- zapNWX9rX77LDCrLf}*48m~e2Py1kzIWuRdUFI9WQ^afuOeJm8p#O->zKhRrWA-mYz!`8wel_hkt4~%t5_Zye3Y%sIi}IIpmZb zSo7qnft)6WEjv`Mh)x69US&$TGi+o&dz@kA(3dBb+yz;h<-O+8sOO^4zMT8qzVeW+ zhBwH*^9w=s-@grvy0yAHE%L{PEcI)uUvKlSbwwcst!rbjZE^jqn-jqScT!qV#ox*c z;;UCN0Ac8=@<oEhxnoQWB7Pf}Xe-iw`a|z_&o^o5S(e)i0-Q+*WNyyO zRaO;*8S@B(Hv4O;PS0tW0Jo?=x$Pr*v^75TG1(Q;Zbr|szyuoV~SiO?bLSZx5c3t@${G&uyR=kj1e9{ z#WU5(y>Q?wRza?cT!XJYTFUFdYVC`)r;i(XC#{Wdcov7Je=03BBIRv(8dsJGa+`Qtwl&eou`mGXD^S$?xt9K z^B1e}n%<+QT71ba5`cm<<2yd+GbxCpXNFmdiW<2k_?P4Mmkjm4gQQVBKluTdJu)}s zv!UDarDGfH#DaVT?h_u5E`Kyv{vAO@hu6H;59a09i0atKH9Q+_>`!0gS>i@>jn4;^ ztv=WaY8KrMWCxX5(jBbAz4e62q4;>&L!&B72g-I@*#j|TKbxFl!V@+U>X7a18P*$Z zC?+ymXDFCJpXhZ~5)Pyu*i3V5}&A>$qp;bg+PN=1!j!p|Mru;|nS{%GtYz6AB8v%B_Z zi3$vX>j8L8yR93A^_Vhc)4*>(-WJ7js!KWEcLhkWJp|B!LPmMwQItihSYR!(DOSb_ z&_LjM?k=+-w~AV!26p;gYBOn^5Q?(YfOiRu(}bZnpdEF9GyHVzLWh!$Yh8ihQok1! zXi2!fK+9B1*3BO2RVnoophTg;LlJ}O{jrKql*_{+q6-ML<|VPSR&*|JZm0I0ycD_C z2@T@m$Y&W52;J(Awm7#Yc>#^6T*MRSQ!5d&H$`7);#gDj??g(>?r5~7WxhGH9 z$`>Q5Gx_myEr004y?JgED~(vQQC^ZE;?C>o@EE7^>h&8ikUk(iH2?c@BUr=-T(yo3 z^*V3=w8jrfPoVt-t||1*Q(SwgY+rubxj*B_Tcl+_`|ahpAMR=cuKA6jd1cuXBC!ji zP=AgH<>@%G?uzT2d+^)YGTU!%sXb4_CARS|#jM5++1^XMAtFetTrZD=QnmquXvR%m z126l0il>sw7;Cx%ryis45eaF3?F(}uDuv3=!drV*1rSDk&jB#zSGXt45*z88SpRb~ zM;}-T%eQk=ka)HAS{HXOl51WXXK?$%Y%RiFA~ltrbo|EisC&36%wC(nw>09j4+O5i zyD=FQQR^crP-nYUA&S`*n^vC7!m!mNb5Mnd&wuSu)PulS#k|_v{^?p2(zfvGE;V zr|f6WOzRz-VuQYEQ=r5p83Q`5N+B6=v zE*x}Iabyr_Jp=PiX*TV+=~X5bv{Jd3I*7dL>{I=35^gH9v$S9H*w!t0yuD&F&jDOh zeALH;Y{T=DlXd+o9Aymzl3kjL#z#p^Z!}T1!zadugh4|dmJ5_OSn#=8dH|HM%R`kP z{z^NWwLwAjc}jwqJ7~ek>I;$qZ57rf;U8CvXe(L3Okr%e7@cq9?+-C0tOzrf0r@&c z@1g{;E_)iwDentBq9TKNZhdfW62s%N1(pg0YfbIZ%a1Rh2krn+ZCkbeeQj;$8^FLT zle}h%{6(v~g(bS}>}aL&DatgnT9Y;NqkNc=N}qCSDrz&6n($e;I*pj%v-|R9<=w{F zvd8z}?Ji%NXv}UycP=U<#1j?xOIdy19f(0t5~*0cBgTzTUXL5I4?BL;aXAJ8 z0+r+%c_dEbeH^J2#$)2W7YENzb%;-2;5&vG-toYRycoJ-wVZf7e|?)YQM}M}R>0jR z=1txdgFtA0Yp77xULyRTU%YfOznJ4oo@lkl?aCg_jy#D>_QI!pW{v| zk;TXM+K6f;{&S|0p_7ti1i_m#kaeX|d8J?je_kQ);?1jM!8NI@S}8`5*=ME6e{D7*PE03H59Mu3i&&ZtyD?lm#n_Qzowh=rpa5OU%Ady2C{jRbAvr zRv=abj@6APQcMRs>u%IaQNd;*mheXY>k(B$IUc~Y#r(NKt0A2T@=H#*fZNHrxMa16 zx9G%_bK&v-V4p%o1&YRlC*0g4XjPsroMK~8h#uTeeo+O&7)6hHKqhwO-+O+m11 zb9A^{I4R|LH^Z`CaO^31CdD2;`Qx`7oJT{!wbQoZZWv2uxTX5jgBBGS#qqfD#rym+ zpY#=hfMA?KL-Rl?+dL@{(se!mGATBCZPWUCKvZp zPct@x`v@=G15IHueIbZKloDa>>my?twq&mmQhSPzEgzcX*7AdtI4nru{5KP6grXyY zvbEX?!q(j67kF9cQ5=3ccPomK9<=LMPi9n*)%%906Zf-O+lm&!w(oou1INeal%hXy zo3(X?>9UdkbTBrWuPgQ`m?1fVZ;p>4Y34qzc)8o zw|Dsm^m=%#>piF8EdgU+JdoIzyrq{xm0tbhg@9=9JauEMth!xOtvs~}w=lwQLQ~!$ zKEXe_iRboYF39>idvLd}YlX&v*xIJbauY|AvQe4sJ$ zD!_e^T<1l)8;BxS8}agp6?@e-u5>v#!|J+Env&vW5n)fFcF0e;VCAYwZ>Jpnzz;*A z>q=)xOmf|G>`lm+lh1hKm0Mx#3*UYWUkpJb@sbGe@{}~ft0>#>XCykCi-|7s5-I&E zhTtx4*o{hYj-s5DZoV~Y53#HuY z9>)^yupNWW>#cp<KQ^`#z z1-2+e2!R#|HssOd_GV05d0ou#>=mZV(V`Mi98%O>eolurO{$*VCelNZER2 zo7LIsp2Rv^{l0iO-5x0L$ z2p2kYHHiN)K&=r7vMRs4v^ldYE66Rj#8)xfIvw%ksZ)h+Qr{gI#2@uAbs)&6#$;y} z%2ZfSScW#WYsk?6PiP*MQYH3s?xdR)v*?&J75*+GAq?6gvY^bywRmNP!HFSi(B8G2 zCHn1v$Aw;s`x4zd*i5FIong$=_U**ITzHmZ(^VEDeIiy7=AMdc9``z3d<^TkC7O5MX{{fuS_Q1(UGD zeVV9<83??}r1Gdr7nBs2*Bl^>BYIH*!J9F{J!e=6Urda|Ex0F_Cp2a;I37F_RdBYf zr~Zza;*DuiD%RePoSB>*B9 zHiq1s@}z7Hos$?@Ag}~x`O&9~u$hl<_E@o>#U7QI7th#*$3qIBtY+xdvmX-c2^R7x-vH>cg zQg$^rBT=kiTZ-6Wf@bW;9jc~c%L?dnCMfMMa9CH&bT5DLuJ%wC;HEyUKftzi_+-O+ zzEM^p;zlc-?~OF5nT^&;x+n2_&-7>fEY$`Brp$2dssxeW@Orw}0rfT$6e&g3SLt3|^KesaI~8btS{|2g#vHKxD&mDh$h zLdE9h?W=Sq9bO!kzM)DThP$vkbCl2q)ESU#+9I8_Obh7YYnMtvKK}jwYs7GD@pB@$ za1h1yesW%y+AHvK6=oWJs4WVQL7BlkL4m%&lQ-w9ln@u(Iufmqx0FTo>(DI=?Z)zy zC)9jVThbgHVrnh)CKbD7b0x=f0gjWVodtTvBEl)_$+GZE8Tbqh4z*v+7_~&X~DoKzjp%@1<9@mkPqjlhx4{;m-Z0 zDb{3dJRY;WnUc_saJG6b5_NjjoktvXRne{>81>_C_S#-39XI1R_tmT2J!{n>_t~+w zC}vvUWe7MZL?bl2!0Q!Mx%EJ`!`1cF(nUs8jaiXU3qNS%25Ln$)MkV=9`GneN5t|k zI2Rrfq94Te>om`cZVJkK>6`eqq-7SScrOhFAJkN%{`Gy>K#hv>+2={>0NnVSikYyM zH?pC(PrFmu6=h@M2xu5G2K10}f8lc4G?lagV z@QUN_b}=ZRlA-?6$x$)ZL2)jRj7E;qxg-Gt$wLZ_X$f3x-+}u!1noffuT)4)=C%bu&X78I!TGVkJB= zw925+a&oJW-K!kCUKR@N1{TYhiL60e(d{KpnmnZ& zD__icOua8cLOe&iNRP9)WIXztYXr2WtVt8IY3wx7RuHv)VE+^r5A6UD++sY0${!T@ zJf=15qRzdz1#%#lYU-b(zQH(>qyt4&zdX)*vfSqK2mWepud!~5wCLSgThc|CTXOGa ztbNr(!{u(KM^p^SaadT1=lH3PR5k^AKu+8mW^oRyt&p@W?%XorJg)WcIEot#r1}K# z3i8>Z4MTw$yD`nh7)Qqi@*Aw6mRbvhn-Dg=$!85^)|Ih4#*oB&(a1V#2Pu(GqDCCo z)E-_x@cjBOiSDX0fgFw48&xSiCPu8lLh8XmX5A1b=qOgZKX-uB)y68@gzD5+WC1T` zP>9Nxi4ZICZ1aZ8rLv5RUQ)R+NWl6W5$^dm53nlc@Xhn+36o%P-=TpwKg+9c}R6+}@} zz0S*{VoCS>nkM_l1TK5rm$CglwxFTDwTV&0lJaRIQyK7>R(S(Yw^v5X2%P3FkEGkBu9MbMF@z=$dhTkJ9Wch4*+ zy@m(*|8iT|I`vq#W`i%a*q|s$+sU?@n<5RirHGZvtha62FeFhcVjW#3?r;2$lcClw zpS=%_Ee^ena{>K8PljHR`=3JFkB{bUjWB27xeE928z11YB-Tb$jDA8tcGd(tLef0r zsi(Ll@^4`vSQxaDXiXgUK%&>M;H;;0WL-5eDpt~ zg#jS3*x*;J)((dyKK?qR8cW!<{rfvPxQ%Qeep|AP6YKxQm#kR3?5tKokMoXhYmeV~ z8v}lpYU=)=b4%h~1~T-oma_>n$qPQ3&+MG6X$w~Eah{3wzvl_OuYOS)-42!pJFOY& z_+lR2LT4Y)RD@~nNil~ffhW7I1*v1salOKn&O;sMFsOd$^+NusEGa30_fXk`S7WM( zXXkhnlhcmtYWBf+6ZS#^Q5O4YrG9|a0+O{zSlPV*GXCu_O5m|+tihq)zaSjELmC5* zy_&o|Y^eA*P1)El6saV7;xr^%S0p*Y8bjBlT;rk;7XVs`hsViSqe>xr2}aA)5C|0N z7`-1iMeJaA_C%Grq|9zoM0mVhU{7{^^6>peU0F3^2Ul#i!2Ak9HQluqRcJ$G0wUvE z7K0$X!KOepW>;>-kEdgf3aCRh3%xT8W^0cY!98y+vH~T2qQI>ckA_*-o25X~UQ6?&ikXGCp}? zE5FBo-Gox=*omQ?$9KE6;hF82cBteD814EEMV3ME^BNo~+~Q>x(R3fb45a4bz@B4Q z6pQC*A;omtXiDJCHs8fLRS=u1i9>E<7|wqnd>&hxba-e_?H6J&h*qQ6sfBxaD3rCI z=HlLTTxu&FJb8oTZB6d-DU7rd3N1pe79KH@R{E^ls^WH_fPd0Vv&{vZBju8ZNf0>^QSHqF zTi2)_99k{kcvZ?lq`g4vUhaEO7W^DNU?Zw;?RP86R$H0#!cxA*#F>0S{(dk+6Y-H^ z9YVYsFpSeE3z#r6LKdAqBdxJ>1D0L zeLM?36az7Y8!RV!F@zeZ}kMTs-4y*=tYnEujNK6Rdj^x$-GZwB>spNfh#Cb|0XEl z>tJ0x7r@Z}7G)=@COOv)Afy!Pu6T{PZrV?$n%q2xP`*j+NKk^`jig;-Kw z4WaU^8ZmFzPQh!6XYWey{RRVq+l~vNl*i4P+<1Ts#B5Geh zfoELbGz$~-Sm@zQIpEn1w5Fh@VoMD^vSlTWVky34#lL}8ya#!$R^)>?RxO$OboaqT zA2P2B@hoN3`8tNFS?=AzV!C5 z+#rl_ ziVEKT{+gVLOpcB)U4$3F`@zTbYI$XV z6*~kjcQc2W8^3!sX6^$00FpgO^3Qpb-qihX`H#(dp{dpBdAf16}PzK!x3M(pQ{Ip{N&Ag;iK&wxQCse-torp0a1!h4oivgTWETXv5=i8R@0nMnVf9+cl4%fA#yZN{kN zJs~PqFYr@`GuI;9MJfG}UmSA(HovN|uF;v+lUkpA#bDJRFTN$Ca9l4jy5_y`O-$kY*C01f?6L@0Vo7 zb>e02daeB2_0UjkzU8XO52@XNobM@I)A67V-IfI?djqxW$#(6{XDIu|tLMjf6|T>I z{pv@CuYQ}mZ2YJ@_HdkXKSj3cV0>?8#74~Q25x&aLHL2WTua9J>5p<5{E@eyw?EDj~^ zNp!vJr4(7Xue=2%-(sQCT_+iTvtX(-G&aj{OG2GgT;En2MH*S}(pH|T_2A6Kg~v6B zm0LUHfD)fKXi>M)I-o&rgTh6d!3c9T^DK+>1XkCD>IYE-rhOLeJvX<32valxj})x) zur^{U7h7p?08q`whUe?B#&xdgl_FTH)P%k&yO1t-@O!Lq$whk z&1}vVd?=vjSz<^;3rSrYzTOZO4Zjsfsu@C_5r$x#RZ!=h&R+lvQTAXE=XLvj@S-l9 z{{#&!$Uhc&Bz_Uk3`U*EY0QPw)GXXOfM)7iX-B-CmgA=IkhjgvE$1+8zgH7h0x`Wo z)i0i^Z94CKP2?j?{3F8IvYv;xW=0JL4l9c736WUwLdkACkNYD(wXn!z=sgIH%9NQJ zQ_9v*hPmKYE!8ID5jqk<49=U!jZvV|>Me!)*a%bCY+ExuTk`@J0(k8~As4|y|60KB z3s6>NqEzY)&`W8BgiRTxrA@G^*$iGdpY;^1CtWL+$M=-Qb#M3Da5`}BV?&Rqh@m*j z;zs$?@g8?_9J%{})C9aZUdpMU?{eQ?;7LAtIRE+li7bvhv~R9xe|9dovn%ba{aNJh z2Ms>VwifjH#?0{IzNxX++ZrshRX>)Le|9wfgnJjYDkzHKW3Sw*IK%h1qDUmJmq#+t zg{6Hy=l3TBG`2Y4`pgZM^*G1Ba#&bIXf{sO^D9iLRbvqjcldjUX{+F^!k- zqJP)_+n9XU<3oiXy3$S0e#VyDw`bCgg_m!i`SC{k6Q9+`O3ufJ8Et6nH-6`<=;BeL z0BQ5XpWL4>E3ic$=QfZ{g^092ScPhHZ;T$6%tHICIG@_<-Sqo;w|9=LpQM{Q#<%0~ zz2)munR@e9lcZ%q%F1vDVz_TCxDO4({iPv$;60PMdvr2&VjY^DJn$M3uWaJJ%w01?$f9PE>GLg(yN6hnU0K zJ&t->hT>(^rYZ-J2AT!VNVtL}R4Oz)!8P80*gR7LlVukDr zwW+px z!)2K-j2V)US8o!BAs9$i?%hAmi2oZ|mhXRc%-juG+kIIY<6$Ts9Cd*|pR_el(Y7Os zLaKOP9!aT^1&kvj>0pVaD6ei(F#o@@r>S?mdR|sKG9&enhKZAYCEj-^sm+LcSdq9w z+1`kWzsvoA|L*;z`_pgIhx4!TnqQG*2PfSe6#ZfJy68U5cGz$K)xHqe4hu&=eJ#JO zLBcrvx&})yG2o42M7Aw2cROjxQ&AW%k4S1jNE0p!v4ui!jDYdK^_y;yvPaDNUtjDN zIeiy49v^Dxio?Y0@XyAxP5k)}zBhjjZa?soWSeUfCBEa3SKqYWjJzjqylwK6FTLA^ zCK1_@x39e6p{EyOcWHNIJbSu`ZDQH|)D@oqyMBvzy?>Q=A!|FckNrrLqB$@kQXbVDPOUvZ>!V)G<8(SGtczRz6qepEyuttbp+- zyZBzLjm!_EeMol=2TmhcAX!Xp$lisKPTaRas&>}&b*#G`vns^EkZTJ|K#ezMm>I9^ zXB0H8j!JEv>4BO;f0(9xzsK-6utqh{i`0`us(}ZC4`!{+1%+r~g`~iGKEU#zz?#>y zGq?12)JV+Tp2l3ATfkG*eq%04UPtwI{Srs*wLa+9VR}b_ge9jLJx!Qbez~|vOedFK ztQJ`RQ#=E5ih?4f3*X3mcVRx${jyL+GqhEbulrUg>49H0SJIx-jZ>|_#-kpt3aD#jpiEhArmMrG70koo@7 z)KUc$mEw))0$E#%*cG7oInPDfm&0iXtZDbX)Me-*1KA5=QbB-*=E&ElXk5*rl|-B^ zJo-ahyhjEF(-dh|a<^_Rj2^4{1s}9^;T3dVxhzv2JFj|2qTn9-xiaAT^exYK7`bfF zMV0@k8_)~uq0eJ-4x*Jmc{L5zH?GY-Uxw_#y^C(`Q5YszbLHLHLvC&EqlLTloRm8R zuUS&(aH~67lsCA>#+7qR`Ed~O2W>Qx7vUC(kwjs$aTogUWEkaBJ$iXEb`zxhKsci! z#Jlk&F3?`#p-?_erh#tsGIxts0hZgNb8e$G&xZWZcz6HPF8)PIg54Vy`F?QWgYfi| zXUX&4|Kb*Y=>6^(ts*Y*^fqQATY%r(=h~mVbxMWn3*o`fXP3;J-S1ZadSBy?mww4j z&u^UI`lL%-h}7=I*MC4gyN_h>%Xa=+9Eq@|78IzYbx{H3>E#hg4ck&!!>Cl|!rse< z-HXo5C`J714?F*^pUom?(p-U1shL3LL zn7#43xdy+w;+p^M1)L0<$b+?l0oXZiVu;#Nh1Z%pwUoJsC8YJUcfQKA4ZAFH+}<}c zqQZ>|Y|%$8?fEJb>0l7!cggjuEVK#!(L47c`jvOH3flqYnX^X4vaefFU@+KVkkEQ1 zw5Nw8S6B>hLxwiqyDxVk^Qoo>I0(cE3jbpU1IQTsI@7L>~p>f$RQZ;y}OB>jP6Ffxm79WAY^TVv)Fko)m7+HBQP?d>*{dW=4?4-!64zlEDZ)zE(%X0#^o;Q{${yCcxci0- zLq_I0-LymrqsnzK6t1O&>3T*-wlMAs&AlR2RRvq=O1wzcQgN2>fRUiKYfZN43IeGv z3cE#G(FI}8QB=A@O#R(4Ow{X)eRXX$ZQe%MmZo)2BCx@u=Xl3(O(AV7gWZN|ViePT z>7ht>hNdHFsPA4Z>ujql9}_R*u52YwKcLw4-HYdnKpoUV!Np2^&XtebOWUQ8ez`xN z@dLDzU1jfgMg6=JPo`$7-ov1FtEF8vyj_7>_Z-F=O{kK3xdCHXSqcmUp+i*{#V`b- z#dQ4wwnZ3n7gls$)M9?#6B-p$P}VTl)^kfY8z66TfnsBbtr)vN(F-F6^zE!y2KR8T zWW8Z1LfB3`%Xo@@Bo4cS4Q4p8sb1;@@IFlEQ<3y$My!tMjLoF^$!s|}RXFC;7AWqX? zfr$8JnH!{y!Jrat5aQTHJ5LT-Bvs%IA1pPKs{O(UbfkGO2#|SRnZeuJn<^>XFG@gn zH->C(b{>ymaQi^JY%1qjTFD&@_vDY3WXAa^c3hDtCqD^hX5woZW$O=tY#U87oH=oc zyZP6i&gLHt-$U>6nXtmamG-@p7tOnO{cPEsht(kbT=@17UBXp-o^;l&uvpRbtQySD zI@Y{i^h{&?;g5}4p(Jgy{XML<;@Mu>^AutUvAjGg%y|@1F;ZExO+5P@qIwY~%8cJk z1k-l)5Do93XI(3v{vsL zH@+$pXZPx= zI;c~$T@y8~Xl5c%t^_FI!sWcd2Q(K@HZVEw6w@7_-ZST$ty;C-M#i`Lb3R9m9{4@Cqs@ zCC$$W_i;RRw?IyVXcwl?T@(Q#-D%D$%Ri6-Ni$PZ6=EV*y5f(o0Kt zTTUL#XJvl+`F6y!hIo#;uI|JM>Wwaq{3%zy1Af$V86?!aZ0a1KUjA$i9e(H zI=nn7Vo)+Kb#t^EWK?PI#g!-lbHQQ4Pr;MM%%V}@-+{YFk0W_I}av}qF8P=uY_JHe4bXdpGpr^S<04{!@NVAxE&a% zq(+^0()9K;`F7*FMAC9+Mrp>MCv;Gn*_S>5jTyV|jj!%)jI@53u4hmPU!>&xwz_md zv5QdGvoBx7`rY3u(O>>?BkC0E?DD>%asBb&{_=5s-!=d=|B>N=4wn4- zKQ-vpkzoAhY{G7_o_HqD+RZ!o9o6()G$qQ;(w?Ufk{Ni}67D>Ts2COVRO|JjVDtmn zc3FFVbU$CTxkA5rm9+cyH@W4Jcg{7Mzfmn5i?|1@dLqY3edh#|m!sbtn$3o;m|U7Y zIh>tY8O;xjP*JD8^@7}8{p(wvm>pTJ!YkLA;kFwJuOGT>zdN?!Vs!LLM@ckurv`pi z?IVicSz0f;Eea80Cpf1XAG*qoY<@THv(^a802{g z%6U~5H(LGw?R^i79mjd!?B3qpBY6^+6iJ;_iJBu%@=2EMCqr>r*p`Mz+CIs0eR5@o zNF?V;Py)J^0U}@x)v=QAjwk8lKk-SmQ=vuSCslFf#x>YLGSt)!o>VzdW4E9crw$Ak zxVQ))JBdLDmM!>C+23z=XWrY}_jdPD@5CedK5}PgzWL_+H-F#k?B2?bgO|n4=0}nE z?k1(1|3__yrj5~+F?Fr^yd^3PsBw%HRST7imj{0b+Zz(#kPfCBI7RU54+{my#lHgY z5QDlmuykvoxoTW#4F>_&4D;->+KLel&dZ2OlD5dQ0Q{Dr{sQjPsK!}bIQIfu4d2!^ zszC{R#Lc#a?7-vCL%0OxG_+boM7uK;wqUhK+?MoJ1QHuM=~g%bZ3m1ruH*2UsDRN1 z^a~MT9M)C^Sf}p9Wkyg6GhlR_t_K7HGY$4+$c!DO9N4H*z*(8(;7M>O#e_GJ3G}s~ zp>_v(iY>YI)B*|%yOAaXia3VL;9~U~Y^VpIfMFoRr4ND-Qy1nhrCUH$14+m(vQpe~ zP;fNQq=xY1S#zNhvt=rRVl5OgzJyWnqZ50O1lION<_Io!iM}A!C|`ydwhkb|!hVLp zj;aY^CVi|iOiBw8wO=)A(~MBfWbjN3$J9+jC;k2HTWwVz@S;m>f` zb02u;)+qc$4tJVQRO>Fb8o;v|d}0rNa$t}hTYkq}bmaYNM&A|0YUcr1eGPIxqMR@D zs&-&%>Zc=UHJtwilim;ahOjPH&7V-u-Lc&N<#9Ip6v7UtIb8XjPBA1SBN`yM79dAy zT(Dn_Vkr`s)nojtedf!5I;*cg5nzF>W3~C?swpHr^74W{4$@7R+$Xj4#~@302LrTi zEjUwj=OdTL@%C4Y!rv5E;l%4jz2)VLYI60P;`=YTZ}iGmb8GNh-MMsN@kp=n*kXtQ zPX}1~KGYI?KzR%V^%M98AKpVcffbd4q6T888!XvrEpxoJwx&%d3F8FK07m917MMdO zH~dWy3EszI`qrOb6g_Xox7FWyqp0IAW1EuRU0m5&6RfDz-G`<~RSX8=G)R^ft~t2; zh7U|&t8hf&KPJU@9YVr76~=lV@svA_Jg`2NC=58#h#Vu0hX zg%+F>@};|YOY#Q(RY1zKm!eZ5XFvH?a2d%e!Zi<|R^Be8uEETkpS%Ndo!`ogG1 zZ1}>LRkR-~s@m#BNyj3#6i(cyM12{Ll_lAg#BqE4;q2vomW2d*Y)PBTE4Uukhx50q z$8csi)CVV;EI)%wqe?KflKa9(ZABxEPgXw7`X3w!Sy}d!H;)s-cM${I=JC$2#$g3L zry54T-r{WK@E5KoO*O#C@$W%71HDb{Q7p+`V7sEo--O6HgIx@EQo&UP_~1kEE8ZUF zNS1$Ci(xMfql!lFXweP;THxNLBs!>&U4Vck!K{to>3;~TLKtJPlT1pO{kJJMz z7)BE|v`jh51<;-Veyb33aG+645$P#@GcT zcun$U2pEqS_9{RVv^6-Tj-w>|_oLGI*OsWMfYr3{7ZN-UDz)-CAg%k57%<=kb~?)n zraQK&7C%8?JtNG}iVtl8P0$G=#gOWWg*lgKw)Uwz8$&EaUd?`pdN6x%FRy=XpA!b3 z1u>P>htUygRN1OM1I{~ajQJg4RqjX^MNLs=JFj^tJ`vuV^a4BjYV^GwBQb|gJNZTO z#%*>$fN>REm3m50TC2ks(+X|mJ8;@O9=ju@>>d>w7=A?UH>DP_hPBhF9kH1}OJEfZkS z5r-9&`k#NiWg53~XkbAWjVLjPerBX~c-($-QB7etP5sqB=%wdi+Y{hotz8}Asit1m zI)``?)+fP+0U|jH0g`@*pZf8wm}rwdDlXz%4__69+@r$An^^BInDN@XC+c{G`)9UK zv1B34PaO3Sb1Fd`tz3wqX*c(N zHS0pHehdO$ykGI7(R8xe`{YL?4vo=~-c4yGz)zs=;Ybc^f+vpQH_WFOZ+q;cWQ8u* z+=t&W04Bx!Jkm@Q!agXr@)QQkRyrGV$J%O&F=2yV8@?D z%0z-x1)z&iAkiG|w})QrmvI`*T7bPVBN`7?QW;1U09KT zG#!yf$wPDzydUnecLYFGR?U^^?@mKfFg)lsjf8#meA4AmDa{}Z)%gFw?~od|cS`{t z7d}aO7?d)KK;w#V!Cw%;h%-)Xyf_ep{HCKxizl_p28K>40XB;mH%zYwtX>J;_^7Y> z_5rewk6r*Dy-hn~oJc-h`|g$z_0sM+hw6Q|9x=%OcJgPPc}heHel2fTT8sK>+_Jw= zR(JDQG!G?~fM$NW;)}_PjrD>fTd_O;)mZIkI{4v!I*wPJ>C*ugHY^Aa*d{7W&=}1K zmIqS%MWpVW!&5cbGxkR&`MM1CXW7=65@0S;E29_S%+O&plq!IF5C8d1dus+_HNR&4 zXDefymN3eg>5~Ck0O|(safe3}y-GQncdw!`VuxiV#(vlHq~CQ^2|p&pU8~^4tlc^5 zYkA-t;)H8PoY{s6M;B-$>UgDscxXbm+kvX+e@Pr@=4U>>_7&^Y816vEudT!8NQ z3HmdE)d2Qv#fHW$7^0qeZ;c~OF<@Y-9UiZ#`Twe7#4%!xp?>h!(bP>_%;=NSi(~w5h-JUS&q<)Q^m>xIeF_&h3Jn~+$GO_z)#o-KCjp2YFbMc*%X1M2 zzvF3*%{!a4!5lI*V^p+LBjWM^_pmcVUDBfk;0p1;&Mq?z5`tel26^;jh%M0)pqIf* z(|!`;L`mYH7|WJK1Q3M5J0{`V5jNdv0+?l#rc8UP*pW0ap(}n>WiCB(74`4zwLiNCnUb{g-c@aQChqwf(D+?BZck5voaPvEp&$2MTdBg926f=jU>Yg{}M z9dOm#_|XA`s&(y~WAk(~Q1Z2{{8$!>+K*Jf5-c^ZykO4~R#fExDRz~%`h$NIEkbOx z{S{?eFOF{t!3(FfHi^6e%iY`WQ|7L}b<5KNwt~DC#-ZP2jF$td z6iX>pZ++^R!iq|5n*5S7&n|A&&l(n{69F1mSYT~RqB0~vR)KrlL=8rNd;$LYy4~4J z#}N8&Z24X-v){(AE3Bw6xKxAf=6Cq8Ck6eX&``n6FWoRDsPdY67=VBNf$DIBF0}QT5_1e z`^^f2Ek3FfkSm2O;DX<@#+}1CJB^M`@GB`*%mei%@QD@5v-C8oz^KDq7Te-@rkLuF z%!I^71{Vmu5<&Q$#Gn@ByWtGl5iq4Q4201tR)LzOEh!gsbPyAc>LYjr0qJ}jdC{&8v36vviSYm54n`L{Kc!12!M_b3xw zQwwx)sp`3G;fCZPE;e4lWgVe$Z+`I#JvZ?oQM0SbOnb|NFDj}5$slTzl+`Xx35xB1BJOq#rn94jJjGY%!zPm zMlvKsQ~>mTdS?PVuac0PVD$#o#FOjBPTK-kF&tox6=1t@9xJLjimL)9Z2gObE}nmz zrfxH}JMf8YL}K;R%?Snj*lynkA*ywAq;Zo;?om<1dcriB z8@`#qO2L{(8BD(Hw6-lXlksG-CrKqhd48zP7*+#J(KwVsA8GX^tbs6l)o|(Uzc!+# z_FIbbAZ%hq75RrA>^$JeBTQ%`$ys$q*9(MSw*-!P6zlK>!DC3`ZI_3+VwP2X z`&gh39tK${(9@+5%r1j$7pV)o^X!TaDL-4_e(&h zTuc@Hs!4QI)LGKYMiR3W6#^Lb7-ib86ubkG9q^iLLnImd5h(&tbCR+<+?Ea|CSY*m zb7kbk=rJqR+Nq#`W~EwBlNZ)4GK%{Npp&0_xUUZwvV}~+!-lZV1O?-dm$1hir>ndX zv&>LxRy-y_^bYwY5f~-St|UTFafCb$>0;w0K`Y6R?9Q2HVpQD@73pvnFtRRSD;W*w zU?7u*hmYnUX6oQug0bLj;aqe_@+ZXRw2b43IyzGHm!oJ?Mi8$6tGnY%gTK!b&MNs; ziTL~ho{H7-y;Gab^JXZ{?CC}1Ato84<0JFBB| z)qA>co~o1FwpzuYE?XaF5F1(#LMZVb3o` z^2iik{2T-3EAyMeV}JP>#3}$+dvd{TCY)PE+j;jD0iI79)k4Xb=iU|mQ!kB+rsVx0 zK=yDMa|*%O+H6$$5m|(3pVivu zO??PXyM0R(3+F;)2G8(E3lW&Kfs4fC}O7WnIm%N%&pRm~TQ6l*Ez|E2?SCcbcsOP(yWSN*$lI=?a1H zOAOxtA^2(B42xsYhQNy89Y8bI0fYk>Eg^{gZ=)*?#}yUuSP&z8Ji@ChhWeEOx&XUr zjXTY@3DJN6U!@Blg;^nx@jJ1U40U3389O{xz}yR_vsfJ%1Lfw)gA4OLSSQCCm#^I_ z`gIj3#L{rh2-%RQA&$}-xYQ`}Cj-N%VBk&3gFx8*1#4>ig0W`ty(B6DJqp4R5Y0f2 zVP#O#JT3{rohOMkt9}$#&fs1OSAzm0H`a7eE&WO)^&+oUVh6a>Ho*n7%2`V-k_IQZ zXGw@CEjkZNggme`CqNf0>vRaa(#B-yFH|KkQwb^J#n8gvrDIdY=M$0<4=1hZk}%

~FHF z*c}l_q6Sqd2GEihKJ<-$bNGFQ-kfNPZvbP1KL92G1`r|-)`r4FYHd< zozpF_<4GkYxB%Oyz2ym_9g?aVRHsb%QEkn&3+|X>V2GCkOp|qTKfZziD=R|irqrZT zlTuCGjK)Ov4n*ep(9`$GgrHX#;gg4&({Qq(r6^`03 z&xPivAs>W5fe(6;Q0)wV-8PEH7rrx6D^SiCVMJej^n!!j)QZ&3Eld0k5nL}CO}|lZ z-3R}C`GAS1FAu9(blZDHHGe*f1;dtjhk6rEWxa^b{LCMqURMJH??aG(Gn@xLAjfm~N@P5}B3O>(u`m`;YrE1nEX|5w5!e4>MoUN+^wn z`Y(Q8)wX;*p0ghldiSOJP#y1hMfj~n+XNR@w<^?#cxw$4tYCUkaAh4r1fe$tUDm*5 z{@LzXdsY~-CiswvpXf2juw~WAggT7hx9Tope*N>Bw^C^Q(G{_9VZB6B=&o=GM)3nh z_5(n7Km8yc;Cv3czmiHJSpgikCvRp)r}a19C~|l?2#-TsbIH7V&X9XOEn94@Aytkf zEn4AbnsmY{>jZ}FMtIT>;Ka?-r3o1IJr^yz-{sE{v`6g--1z|@wRiqnqndwftXQgE z4e;cs9^N)3>FZ-CBybbU(_8BX)_$!-83+n6`GsiQXt7iC&QEN|gm8+jgVm;S3A#@? z;OAot4=!6|!AA{(dyGe;Q@B&I2`BOX(jF%HW2p>u9Z!g2B@Ge^*8)^$M@`KMACD3Q zTWE0-9dsyU2sIbshtLhwGr+}x%}UONwO|$em-Kr4em}%HvK%m{?O6g@gQT3UIG$@J z_+ftA3~1K-u(T6m%OGTt1mamuoe%(wC|h$0_jU4=l@zLJVOxST7{Ur`)PAd&ZFVp) zdCXGBtWO#?uyO#UMwK^6X&VtE0t+o@h9KF@=1~b8j}{zbmBVJW$SC_mTHf866iZTx zahK9P%E2B0dWhkjy9#JEi6E;T72u_3pdus7xJp4lY549VVFi~kg6?P#Y<~oSEFplP zNRw$97)KO=((qbbQ^cNGUxTZf)Nptit(pH(j2*Wqm@(7JzCw2|{PfJlNkQu{^ED{d zLJu-;1;bWo4^EWvMLwQ59JyRUi3H%Z*x-wDp?c=xu&F+XFMrx9xW2s&=SaKXwUVUH zYN576(!HdMBMe_xD)rI_rOTsOFeN*{})KG%@%CTKKcHS5%u}Cdu=X7i~j8`@;O*Xa!~gyxxz$ zvs!DAJ0z>`{A>@kE(b2X?3b~>@V4k+9sc+tT?Y3jGtX0h^AKVh``O+fMrHir8c5zD z->1QR*iv`ET>yiJ zuV}#|w&RkQ&`A%_)G+hn3yFKdYGC+wm@;3hwMfJE(O`t|!ls!q@XtbGPZl?jR5G@Q zP=VvR1y+h+A%WV_=uIuGgSfD$3Ml0o3{ES+E%PWTJ1{BGOdvQC)FP|Ds11 zL}(OV2G}!!0&N8ez_ycxB6zlx-${tF)e;m@01ynvT*^$ztz%vJKQt<7bs8g=yTXo_#c zD;KM1own0qMHR@uvL$B^;pS_&gPC;1%c7Q+twWQN){~>bWmUvG=%&TQ*fsW-O5^0n zqT*p)J1>JU#@HxI0ODF839(1T@98Ro#fSWpTUFR#=N;cEYVzc$ zYAya#RLu?P@B^}*ppo;y^>gz);Sw%v4L7r)-?aKVJ@SFwSbD-J>`^VyF2SWBzNQ(; zTMMKx2P8@}LJ|jTl(bHWa1_QddCw|l*Rjb6f8dk(_@)-_6R(J|2T4%YEL&2nsP24e zFG%CqSi+(JEva^Fr%3!b9>@Lf@oo0at6%vUzV11KRU=ksmix?Dyc}PIg;Hs^X+jul zZl#4!?Y#3w7zvkMzZbuk9(o`AgON|YIn}4DwbmbWq#;#~gvbhj6%|GeT;H`nVz5X+ zEq!M+ifjh($v|}yvFW$NpIp~Cl879mo~EDJFv|e@EMuB;0Rk>FYA9qh>T^Gm92rf7nyz8dup(9XHOZ!_ zgk@`C4QvI2-eceueS=wq`D&Gz5LXTBo{)`_fK{{=gk3$1WSX0nk=D%F19c28P}=ld zxk8aL2l-AAofE62P{0PD>nzIAw>^KBGh;zqP;oo75Ztj-mX2=F$@*DPGcvP=*NQDQ zumIdOS5%Zjp=eyu7`7)jYb4r$&ACt`$rTmvRbYuk#!`a2i4lWk$=}4&NZ6%6_|03A zvH(TNOyd?d$!i9ewtk}D+P(*RT|kp7)P>$$CR#w9h4L$iQXvb?#Esax1`TyC217f9 zG=gXX^oQYJ`?!c{SSSav8@;WXh43_C9uK?gP?w4tEHSL&D@cTQfkM2xr0o|{pBELw zV+KmTCV~!x=R>*V@VI(HiMDAQ?D&%#zzU?9j~=%3voV9D{BSe6#3)(AG5A1{Qg4dt z1|Jhrtxwu7Md-07_Nr^$%wg$z1@J>%eigYN!u8Zt#GjvaWf%Y4lifBFYxO3(z21vM zF``Ez3tA6_;qnNU9P-WIP&1o8Zn=mhMW7kUTMMKxhfPQ`LXyN2sXvesqEZU`4hWx{ z&=1LG(Y&aL!d}MXHSS0m#Ie;4fSD07tz{&)T-xrG%fK1x7C*0RN916tEieTTvgZEk#c^f6^(Z z8lxK;!QEL~<}#9YgG_f`Aq5A=X}oX5XS_=7Y$>jJ>Wn9VpFG6Om?ag<&zFune9!w4 z*30*H=*R?yM643~LhN2dxT4Y;kx%O{VxlnI!z=;e>2`wo*rEz=!!!3kd1GXdJ{T+q zD}4Q6esgG*XO$4dh64>u(s~r?qHbM=iop-}8n(L;V?6;3Y6q_uB=X1Xh?->d2u&N$ zI_?0xre}XPVOAHxqkm+_U|$B7Oj|0bL}kDyVQmlU7>xEhF5~hl^Eo=2gvX4so~haW zYE7I~#>SGSEWqh%-A^YA0~AOBznKJuVJCWnA(E%3G2^num4hS=g_CEKi#ubL1G5^~ zT3BySB~pq)y*4wc%(d7q>AVlXOK`OzPtwji>td!;V1~B# zEh)(h!I=<&m(G~rjY4@L4@c@V46zxbG9=6;^rpzjvpbX^cSg;-vL0hRhLIwmm%E8I z5jzkN%p_SUP%L-pg_PxDNmU3LBWFnJ^qTP8fppe3N#QdbsUg;p2ooe3 z!q5X}g$IO9w-V?|R70Zm^MI@$>od$*4_ZFwq4NMZgKb<8s#bl%G$hZ=qR0$!4 zpGmte4?GDd4X*5Do5zr(41@%!SbG+!`yN&0c{=QEf?LQ?qA=)9+I_LXGK4{*I zb()bGp~ber_k51v!XZ=lokT?Vp3y#Bo&@n#T-l5~h9rg1=-^pRY#}>)%(=G--Y78f zrc@B?NlJvTYc}h9ke~<2Y#_V_ZDALsOc&gsP~{_Du_VpxjwL1DHvl5WCAixCog{#K zMjn$;7K9^$5gX7U)(u&@yVwF|$l?k9HN13NQ^UeE3ZNORA?;>VhJPsI{f4BdDsVHv~V92?PTl68`e z41@F51O8p*jp~8=Mpdj2z0$#>j}rZma;!U7;9RohT&a`6*wKBB!OxOt3dl^qNM|N+ zyMD@JSgs7ZizTlq7F{d0j9q&%kYBcV44Cv5t0^KQmZJm9I?#-Cr4Z(1{D8C<>of8g zmMa5If>Nyl)`jbkDZT-d!n})YwEKoS zzQmdE+=qVF81RN)9=Lg#m{8MC9>YWt7-aS-wul`U0W;)j7X$fai^qVXAF&%?MP=Yq zP0O5b4HHf=VIor@L=*zQu+p2CVc89^q7v#cgeo3LYpV+B`Aai)nx>l`<>Yj|e!As) z=rKf}g&r96vO=GQJ}{MZK1)5-&l&@M$V}iYo56_1RB=~Du?MU0B8_3d0n4wSyrv$5 z+=^liNIf^k37SFg<%pL@5`Y)$GWcb>~EqrPUmC#e&=hJ7XSd4dIkz$>*q!baJ-+AdkH83aW zfpIEi)Xy3NjM53bJ(G696v|68`xINs(vX79nSEJswe|8CAo~&PxRDt_GpM-603|=l zD7d;P;+@FsLV!3;f^K5DqB7a{(MVbdw7(lKYAPH#lfS(NDot1RBJD6LLxOT=3izCQ zkT5eyW{<3Kfp^V%cUhGqBspAbPI+q7+ zz5GH36#a;G+{l@r85A#VJPH0bVIo7C5$nB5vLOsTaCUg0a*ye54qN>>33YGPtMriPGvG*T!2E{nh8`H42mEZf zcEYUo^@NVU+vR5JO1e>O$@0K!R)wJ+;hU6WCN?oNG(ziu^&7Q4%LxI^)+VriA^j%_2fy}T|*okegWq`$Qdu;aufg$5c)r;-|LOTiM2HDKm zp3D>ETL=QBGINh6d8%p0$OL3+Z6Ksbt@R%ZhDv~xq&=z;*&pcs$or=r^ij0_H5ir{ zdf>J6fKXWB@Wc+A999LT!IkaFc??NPAaek={Wrx8i>2d4`>mrAUP*RW6NJ3l0~VG& zOm`)9V!M!7CMcIG)`cRsOF=PioHR^i6lr6aRR(>EEo0Z`h+f57p-g)9tN=MHwCq<& zwvyAY0+SJE8*2trHKD5#zV>u2CzAbgP;mOZxUO0QBWu#b0)Zh_W{%HeNKytug5F{?zvIey+5FzTyiuSN()K3Jh}ER+95ORzuVpfANbX1& z&-t*3W=~oTGXJ=aq9Bgx0NH9%ScfM?zm1Tz&!>3i5A<%wrWU=lf&n-T>U`SU4T+sF@wuqf-BDc2*ZO`;4RzPmc z@;-!D?E$tN*3!Cylyf)bB=o51}*h9 z;CGgKO6Z#6<$)&wrNNb*cm|&!tUnMK!V( zv&nHTy!R=#kQXyMS5!PH-rnz;@SXu#A7TaM)~mdqAviK%x%ODxfO&2Vc4p9Ou%!%P z=z*aJh8`HC2h1Ruk=JW8Pzgo(nRM-(pP7D1X&7WC+dPIOWgsM|F1Cza5w8uiqVkFu znp3HIx%$a?yVzyqTL^;O&J~r?BzLvmt`H#m5G!Ot&CVdq4_LNTs-FQ19kLsG;Oz7O z2bDpB43gQ9*K6g0!B!jRrBd)MuY3Y3eb`khtO|jUsCb?RiE$IAA$ex9&0|PX2=J7U zpqp6jxYry>`P4>Fb>o$2+Fmdeh+Sh;h8tBSWX#S`Pyy3P!nj+f_>9~h6{*T$t=Oh! znH+cDvdTD;P)L+BVS+E_Xl4P{icK=QiWSn6+Yp8x7<%A5_JA7%41F-r35)~Jxdhr7 zGf*!%rsw2c&JL@rnz^~vQ2E@P3S2%Tj{#XJ2q~(IE#+6h%P&5_M98S3SWVi_j-2y{ zr>M4~@`@O8Cbvoe!U?Q`Vj(qjuj!5_b^buS{rDp(%0R%Y{T`(zxmzZ+Jp*JP)(V-> zt3w!i;QaEy>9zU|K8tSnmku}lf$;OI6BJlmd6p~Sk;!r$&Nyu4odj1vTqHBOd*rK* zSpHN~la-rW4HF%6YVPX^?Q`gzq{&RpvL(=CN;gy^6ct6%$uH?g&nD3BBvRV zLl}BsLwca^q1RfWH>52kN>v!tQW879c8;CgS-Pk_5V-zcB2cOKfug$DQg&(ugNq?q z`Z+KT-G0=)r9FrN39;>uj``7Ttx=9!G60eppihl+JWs<>>Ykuqv5Bs|!p4@YX+lD; zsrLtv{a6e4TPKqlp~aSv`^4fZv)tDRJqd96j64Qp#n9+mY%xEDp9;NAuqS8v6-IzJ z

f!W0hGsYdr~b&lIq}2MKzR%m%`1&=w9vsp?GF_f8*-(k$#oW5a~&p~8gk?<4{E zZl7kD944VF3`e9>tmKB7I48D{oti{;w{P?+VL8KqMgcT~iq`s3tzCkp&opEzoD1m< z1*xAmlukos&H)ej-pCfVb`)y&P?MUP8Mkbg$z!T`rBAV?EUkXsP4Gs6Nk3v8Hz!*& zQgMs}r5EcRl*f=`35X^^b+IMv3V9h8PDdKectx?^t1NTIK+c%tbrsAySPyV@yB@sH z2u~@NdiuNix|s2xa_fU)G~_e%z|aF{%maQlbPEWfDt;B<#$7`!AeYevlTa3ieTpq+ zhFn96?k4z!3@G{$>$s6KK{Lqs!%+xxGM+)&i}e|K49k^)CV>+>&qSeE5)^~uWk{Cc z1&mk>GBhqP)-aJF^+sj5k!%P<4-7pp^uYPxf!2mMa>E~A+VCFiTfu(T7+{{8NxNXm zbTA<3Q*1Fy%=P5iW6t*r8Bp{i)~z7OnV=bD77&g?n3M4g(q633$YWTp2n@t&5>yvk z#7?Qrg}e+4(FekLvyQw6!+O~L z2II#em!SuS9ykv?u7Kgw2H0PIZl6nf)EK3le^*n6dWy8jlzMz=L_iVhoA=dZ=C2beDI(9*i*-!- zg@6Vwfi8-FJ~bnjn&uFehqFbmrC6&e70d8&nrf?h#vhKUcMuTv8wL>46|`DB@cz!6 zY1KsXT8<@K5NW+~YHLvcf2T%>QXZv_BN3_39*F~WlHUl%rshRY(hm7GBt8NJFKX2z0Z&* zKg1h}C=)K@9Bj)qqjsgDNh(+Mwz2t2HNKt|+f35_P;hMn$+d>gf>fd1Bx5FvKqE6) fzG_o1C0I6PPdlw%LZnq9*T>qbCaZ*dzW)CKc$qTP literal 703969 zcmeFaO{`=|b{=+LRdutbyQrxlo2_09tnjEwRNL^(n;|KW?I95}r0AhRL&X+J*nps^ zA-hSo(3&aCk41aqGlXo67X}(y*?<6-AuY7CU>LoW(m#M@KnpLt(8_ol+c3N#v?5@^ z^!aXPoXp6GI1%w)zw&Dn>@)nin*IuJfAfdm z{@xFM_uuedK?f9Wef|D~^7fBEO#U;TI7ul?}jj{|mkF#RNx{^jRC|0`el#ozqO zFI@l1SEq+_wBP>D4?gL^$_1KlsIO zeelsAeE9tzeCw;%zxzvHxc=Z5zx;du`t?_T;mhCt`Ct6-gAc#`<=_3v7rwOa|D|1m zuOa%DocZ8zAAI;9eDr%C{?ZqAh5Ukh`RyP4;V*q*Z=~Py7l>U>a?@oXG=(TBj7hKaf`ReCnzsUo7H9h(aO^!X$_+I0NXA?KD8n{s~cF2Q~ zc^p3=Y?*CiPp3y4_(r;of1}?T&Q~=U+145I4iZJ*4fE4AGyiC_x$8Vi4(pg?7JA+H z%1uxG^jJpL!nn?OmusCd4``QtXM8(hIXW9sn14VJwnxl{^tOg;L1?#bx5hf!T!$Nt zX!=*y7;ayuw>QXjYkiBb7~6c=;DoHjgELqdSvXdIN(zBX#x-9ZKZCQ%hV0h{WoVjy z#=o{!25k;a>#);$WT6cWX2TSCHH_(gh;R>?wI~p7Flqsh#5~yC;UhWEkc+4ON74Mh z&e4bSc7`e6Hl=6W(eHNNXyl#*=ku_$u%9lB$4+ZdqW1He0j>tRxc2S3w_7qo2D%R| zd^w0KH{E+nGIw%q$+q4qquHvffEtyQ>HIhh*xzS?N#Qn@#= zc4FRFo_CGo?n-dG{_o$e+fjR6$@7x?DiTmnCAN3FRp-ov;P8&;2=QF>c&!2gqB}p*}fv60Xq#_9Vz;HtHh(t2L6D_x%8!n8SPA|fB zhDGS25x106*eb)Yj<8^z`{L(NyQZILQbxXRFcv4K7?TnKr@zGO2zsi+=` zr@5lKMc6sEwkfCZ1iz5EP2Y}e30ib@@vHJSqB6==NI#s@wUBW`Ao*bQ?BqxL7nhg%N_npVj7 zCzpI*Wc@#i@324JLNGebKHh5m;52r+@I&q4SyzlG2^TJ5eVE@@>o?ndx$=Nvw8$RWC?`8c_g zx1Jw;c2i@aU(G_;7fINkLsx{wA6q?tUht=C9*7N>CmhH~B`J1>umL*7&?wt5GLXR> zHdzFrMdAU%CSj#v>-pt18zNNA0nr||%BOI7blwcgVZSk~sER&g?T^-U(9ld*;N9tW zbiie|%2Rz>s1Dc58&}f=wY*{9|A?O7f5?-K$Q9LDo2-VRfFPtRRY0_+<{GxpTUAt1 zY2%AwF(%bKTJtGLA;XBCYy%sZVGf%}kANVw?2g^>v4rIYf@8~OhV$^7Kej-=QeHapOgx)B6@`poUYY43PbQSEt;eTd6warW*!qRr`B+~}4wAX>yw zmNAOD6s``rOavyrRg^kBOS9#Ded*C(SWi?-Q)<|FdTI;~x?4BQ^@(`gf5=o#OO0oq z&aisAyo!KxE(Y+f62gIuR7x9m_JqaSgucNXcA^3zAP6lIZ*yZwSZPQ-=%<-yE(bt+ zSdDJ|+^@{#(HTjxIc&;MNh*S%&j6zV92Y{dIa?Q!Z86PNspVCvp~df8Ks^{cTRm!> zCl)j3NOL2}7R7a8~q;I`BWY$7Yl0&oR|Wb21XhtDznR00PE#Qqmg7~E?l}E%}IIS z;N4#^la=%q6%~}~42z)@jkv|5HJ^eMGK}a68`!`MbJ#?B1O%ZaQihKuY-qSnJm^+6 z2Sj_=Dqopv<N|6AP^QIln1id zW;`ug31?f_Dy0V^&n1NnBYMIHHZa2+HjOm~BPFazmU_TgGY3F>*czS2<5>MtcIb0Ac!GVK(waj8d50wSFJN_mCpl_PeBS9 zM)ZUYY+!~tY$81Zg3uC4#>Wy?8d4AX)yx6V9=1lOad~t`Qfv;J#;~F)`k0vlrod4Z zAVimGCwCKX-;>Bl5BSbpcAaO)MQrW)V9abUZrt92WBEv|xFO+0tPqyJ@lzQyLw))ZZ^R+XY^e%kE zGrY@S`ukFUm$*kX?L>EIbibp4MR` z)iyrY*nIV5I)~7irX{+6gY|km{dj@jU+R!(^O!0@g0%Du!mjhU^H3lO+;L#eN zdH_+4l_CJz!>V-i|Gr-4l0t?_2t;LQzSuw?Sd_L-0(eqU*)uB0Vs;P(tN?74b@V*l z8rN{9fGKeP3J_A%%L9Qh((@-SEm994H6sAp!`A3DE-7u8gh137)&aXa{ob{rl7VHj-p|6=KU<#bP0*n8j4j2E0@RPp;EP-1qK5-0o=?Uc|T7{7Zlyp2#GjJ)B`6g8wCKva7% z^@dgHrip)5D5oGvF^Qz|k`JWe2J}%<5gS^MWjNm>&5a}*!ZkX>A%kis!M%4EbLgy> z=K^7*-1g~2ARaKP#Fdw1=(H zXT9gbi$9hB<6P+8zf1K{$w%;bRFa4XFqHYUTiF4_l+txI8)|DK>{q zV^~oYeauV&Q@|9s1O--$Pjc~JUs}9^-2;@>uv{Jpgvq5m2#amTQyYV4fN-{j)hU?+ zoP`QU3K>T9gbgDDGt6NV()KtA2*N?63?EBaX-GZjrlb2MpA4J zo5rxBD*Bk20%xLtADzeM-WpA7d?%y;mV=SzMv^Ux>qM23)v#P12!!>P@*pg>k>?7~ zCKJxKuvJbEM4n3u8AkMk4QybBIc!4O9tQzIIEa+tV+k7?t`iTsRm}m>9=6I?=304l zMpA4Jo5rxBD*7DB@a3+N_SKPOi{d)biE2?AUeI9p-_Yc%rIU=qNU}0l!#XVxW=U4kjHeuI-2$bAvs{%3q{c@K@Brnx zq>y2RaOR;=c@Q9AMwSjgvj{>pXW~`*-AXz;P}&;t*AQDI&btf z(-pwwbpXS;Fl$j@^n~3K(=euhDRABj$Z8l02tvN03W(R#Ttf;)|EhI{t@3#w@+nAZ z!-$@+fep-{cKCURiYl$KKv*>gM0?mOpTgzQ8ELaQY#PIgs_P!;X=VzT0;j3K_0osE zTKWKOd>5>MtcIb0Ac$cV)wVth7l*@6V~xQ`Dda@U)C0zvIRM(j*61`YkItovirOxa zIq&))4Dn#{CPI?}n4-)&6d+(_+S!{sTs$)|(gVJ8cV8zS0CaQwS9ij1tfjDf6Qa(> zt?%*Y5mP!K_flAGJ={qA*$wMjes4yfw5#?jH^V*p>)mK?y=V)ns)S?7(J7_JLB1lkQ`p~ zWYHNm;n;N$4=SyJ!$`L?6Zh!|O9%l$%uE4O;K&LP;_K6sKp5%y6W{Eq2auW(0PSIG zbQ+gTq)b8}Y7Fau-IN#Djnmdi01xw|#{uTYj0fJD<)+rBDHkT{CcCg1eOA6n7z3TN z0;`e99ps|2*Sw58MaE?c97O>_)N*<77Pkt7_dS2&(jxT$QZoV|7uLn>fHYZ-Yp;-D z9s*YxnlCoc2bL>sGG$UIZ4OycDPh-RRkpiHK5zhrk#3b?XGgXKlIAl7@adU9y&>C( zO#xHjpbFSK(+?`|Ns<#r-B@EV(gPkXwy6gY)i@k6V1@rV+3`n~bdQ6Gy=k3x+^d{| zurrGIqd<3yjqS+L2bK)X!5hjEkAncge$tTf0H+xjv=lfAz;c?QkkjaDNY>m^l`LU6tkiE-xydo`+Zd6*aw`#vC{`hf6aLvv1#?cro!HGYICOXer|k1 zWLZhW+UnuO0CtyO$H$&OaRWS$X6QeEO2h~AgAn{I8vm_{(3ph%00G~A`7{b!q=_05 zE|2C}!;lmo6P#t}Zno>G1bx>%_R`i#&?$uVIKV(2vxhMA{I!3=Uzw1hp}Axj(5x;0 z{4W5Ku>bF8r^o;7|8WmII|YJ$)red3NiOOc6^6Ms_vat`d2eUN-ZaHOp2vqfNB+O^ zU!VRlgzGVy&reT}V`FLhCWi2{t~L-~0z8v^=9ZlZSRLXcchwb_+2vpB9`TY1`|-5(0;O4cay z68+uQi=l{{8y>BNirJ%3`v>=<>^vY@r!iE-_52Cz^kc%oW<~PY$0fn~5#hjN1JCFF z`H$us;T0UJaTEdooDMr7>^E`n`txsW*#W50VUjF%i z5_?KVzSJ=LX?lROz9e&F2ZJv>&i1omr~fC{`LH)|<({$eHTnQ|jJs=&FXp-Ot}F}h zf5(3?RLQbj9$p^lHYwgE z94BEtynsql5oFDvAri?zm}n`U7igY`hMk&)fkn@#=r8{J)8l{oa}Otv?g3Jxn%nb9 zB89CoydO9zYDkib*fv)YdW2MOeZhw@tc6?^vUpUTOI}bkdVEQIjs0A8fOi=-WQ|jSO*3|um9|7gD0hB8$+&ygu z;L}W4{+BF%nyae4b-IBw!^OQYE-7SqmvCH$MqwSWyJs`eLlB8%p=;D21MxQxr>9^e z;3`J>u>bOpr|F;mmpk&;C%sLee3BUHRvF&UhlL(dQV|=AB)rCm|m zahC5;2M`7>5Z>RP5FZ8)UdHuwhE{WZe{x&S&D?QCOdhz>uxKpWC(Pl|y5U;Sm79@1 z*)9-_kW_Ney|4iSX11QL4Br=O$AdqW3md45=B~EDFdPElwlSgaB zB_oyjnGrI+JTwX$AYg{A(3-qr@di!dZG-}0U)qp*7^d4xH7p*Uew9DFVRgQVe^n@_ zFn@D)esAOsCl6tgh8xf)*$M=$$1*B{4dH6b(BCx{?w3Ot?!CL1L+9)rO1QD3 z_tx@!fHb&aXMz&XyHDSl+-7nGqHGTz1DFZxrWatp;;_>e0;p>E4Ph?@w;icRUrak} z4x2sb-fsmd1bs%@W-Qaw)?fY7;EyLv&iK0DncI)%{OB%Ot~)RDVaZ+ciu?Y;S?hVTC<-9{ufqKx=52vDQ8ETL(9D&fik= zIN)ASuWwlBzsnPyuy4Wwpc{aB9XvX2#^kVHhDKq94ShzMpZ?a5X1@Oo@mkGAz$@Y` zUcUb5_KQM9x7>rrkDt$j!%5Rkz8{moJUoQW*_kXBqP3zuU2A64>o`_Can4KIxP@J;G%Z?k;QiF`Op5P^-7J_nvrluVc&js zx)oKV4CkL}DIPpqa*zmpYUTjI%)Kkw)35%WuTQIwe`@c909v(uuI)%Y`fk=5hy5}% z%2q~(J_oViYEdd5`Rc*Lr(97{zV&RpPx!lgWZ{UX#}ouK+g-f2h;q2Iuu&Z z$&IIK4ThP5Exmx&a|6w-XSZ41BBRaxn(fJAxopF&ozd5qZp|{Zz_aPm{1fmyN$tlyb?qJUT)| zHiu0TR-lqp1VNtxMq7?QTJM&hs%)X9z2EuVBd(}Qt+(?d>qXe+Y~i%MyS@qN4&(a7 z4c4jcmxeox_!eCq_j%RSVQG4Sd!f_hY<2cK65LB%%$v8f^^AM_{u`ikdBIFt1SGg(QuOS)84^U9M0E=!d*>hUU;V~BqndwX zAm${`oB2B69}fFTSVv(1%~C0wTS)%x1z7dzZ~eDuJtZ}3|)TvSJ%?i;Voi;~5X z)fFgCROt4YE2?X~|9-36cZ@z>4_H=1T=Vq>6P+AJ5*FKSMI|0I*Q^+p7FbcyoQ=aa zBv`F|!8WVXPS^ft zRJ7CkfV;SKJ@_%^bj^>}R7NB#X~qLK%@8GoonMOqRIc$GLg@7I#EK+INA|7rnhjrW0UfZO>j?Rs=pex3}+v988Z zEslv6H=>0OsQ($&JtfjpWAKoYl{DjN8F@ME^|!hI-a1`p^nipKh;Seyl|qJ{<**KY zqOgGt4KRmI7C~r{c!01;SZPQ-Yoxw)XwHVc#b5o3T!a>n&D|<0Ff7nsz7FvhWgDFr zHKt__1~=^jZE;tWXhhhPX(u;#JP-&YJ%4uR&g;YjfNqZe>P~>DVYd*Sja%R2xq6R_ z!nju336YV+pM7YW)E)$V`nodQqt65W$V{Gk08wrAcGrkiuDS8kz0{&LPF4G^A*`Au@t^=Cpdzk$g%=OEc4RATU|pg;q9%2gICLA+QWZGIf82o}w#O#A{o$w? z0U#4dH2M8S{uWg<>(sdB_Jr#>+!&Wkq`XTwPM{v%D1``uR#+L)Kcl<#(ALEIwjA~X z{&5GE2Iu0=_6PpX6h?5;3aoZV|IOWlwtdV|!QP#Bc0HlxE#tReIiLeAUnFjo=uQyTLJBSc5TBp?o(*qu@q`RKSgyyR8 zKi@|H<-`6Xej9kS>R0(3Bk(^ucu@N@;uu)PG1btv-L?RjBA*{zi zG?1+aO`72)gypdR?9V>uJh}%6_Emeut&z44@G#P?GVBbT1Vp4qlC3}hU8eoVkNwDj zX?Oa_I7i{q-x<(3B;Sc&6daemVlR}oY?I4+FTr_*ImV0?@S|10M15eZ+y@7W?seXO z@!&;g>YZ>se7=@*Q}uw3_F|- zgrULXAWAA?Lo2L|NNNng6ZVH2&m)gkX2Wsa%3;5{nkEl|0KtCMB5fVuVWjh;`PswH zz)8GcI8zA((2+(=hR@`%QSGJLI$iz3N(bz>F7dbO{W0F|*jKu}<0^ptW?TlBX%~>W zZ=0kp^ZZ-Zhg86iCO`D;x*jlL*iiwIlm`M~q~}k(*rpypYDNIGhpo|RTv9MF34y3F ztOIsEpFonmv~?1|L!IJrFrUoSgC@;T{h({P3i9Y4AlO%pxV6l+Rfb`K6J53qNeU4g zpregI2(@*4t~YnL(tO6cyIBWQ;1U(UG%(U=B$;t7Oo7I^b-nnG8~b`0AIFzxBDnSP zKp>3t{D~La)B}iWtP}yz9#*BB|D;#ulG27r2t;LQR312BcTZ|ZjS;#=)oFM}wG|Pt zs&$5~^5v7nNS{ENXB&c!u!Jt?D}P;`_iweKTlG4@PVNN9Y(q8$OaW8C6i^f(v^CZk zjP!s_!0BR3grz~?VrlOL8c%%ann=DehoM!WHiRFrFdqQ|%9bpN1U}WnD zVDpY`OaW8iG!b^Th`Gz&dISz>`0aC7!l_Y^O6ULaUZfa(*HzCxi()!V==4uUWeSt{r;e zNV@R+`_qpejhF9tzhRc!eluk~OlRim7~W?@XIPc<6P|xO5V?8i3BJ0pexW2Idcp=a zFthb^W%#~OInT_iZ9cy04Xd$D(~CFDLhXFVgGqX_-4kkB;o3-Ev3P@_g|`t3gnem4 z>S35}FZdsB?+dH*P5i4uIfeO~vv><5TTj@Rj6eFfZbz@a4k|3lMrU}>$>H9+i#c>o zO3M7)&d9VO^?*?|zFiyu?O|2A=^d5Jqcf%*Hiu1PSeez($IKL1xB?#6_1T)-jiG=b zq?!~?9$vPjA>&yi^=)TZos3&O5cw2jB4tER*uVy6n8T)Vj$@>R70FT$7;EMLXb)SX z)3`i3BW*T^O=DP56@AQ1fh8)CJk`hTxFow?(qL>XrhqAMD+OdV36f%tH2^-kJ40G5-dISWaC6bJfC9E{;dY-yzB1YBC0n#3}PFUvh z=!~S;95!XBBo#r>XS6vGB8r(QU<#N53sPXUpt0nKviPqr<%fOB-kutR^GsIK!~;Uz zJU4fS)d{%>0Ou)HRO^aGPm8nSO2PqzilRX>KvjE#TgzM?eV0~IVN7PGz)%Hnk>eK} z-Mrr1-@3WC`a4>Wvrzym=ty%T$rilIboNfFL4w-Nd!>>l10^8n?! z_@co0_20nr||%2(!Ed2~kFYz~{ou%asZ9Lexi zwvqPLkz|YF!u2@P+(UL7eU4EXhim z@sxwDTc8w&z4kaPS0yqsz%(On^Z?|!q>y2RaOR;=c@Q9AhB<7q2trGw3?EC_(2zW% zLa(|xK-$CB35#4SkIqP&&0$l7#@ULh=woIIoP+}Jp2R4RK1fVoW-SVsQY|WVVX(5C zn#pPy3J5|5p$drC)LcUfMgOXGhOP2>Ao3|lX~T$~uz?NCFo#WJjloC>D_W)=FxJcg z&>pr%r*V07wu-7Qp&?9x^Htz_>8Y)jK0q7a1uM`~W3Wt89)vYM<7rW|Gi;U80}*N< z!hwub3K@3xgbi#UgE?$M+8zf1K{$|jfUrqeX-GY5q`q}%E{44l_DxuwOy!z9nvoQn z!)7-um9rI9(PycKL7JHY7oY$k$7o`ZJ2bh88R-GvX}C^20O;oUukHj$dsvU5QRWvln(o0+caLochuvt}(QjhFcPqEKZ^i?hW_-K!hSlgM;z6Y~a2V-!X6g$| zhzUX1z;0Goz;vo>WQf}+Kg9nzxP#}9EZ~F#k4;$82?s4k0JVp$ zQZGxj)yG zX+UDpJJXk_)b)TQjiO5d0$MK*1j0zqpSZM0J%Fgj;Rt~Cuqxf;R4yrP7)AVP4eNm2 z{XM3$v`Ooy)PoS3y~U&L46D&iuedc|HqPG&krTqOj)T-MsC!U~7gxf7WF<}3{Al@Qqz61&Y*P;)H6sAp z!`A3DuEkVT4-<9kX)z*FTbHbfFFK_TUBbAY2s=AF!V*Z(_h42he>phz(f^U&!TD_I z{C0QD9S0*b2#8|XN71TZ zB~!V!1p~uKhelx?un;6v3yB^MZCS~(4G9$ge1~orP-j?`khnFUa1XJKb6&SG83|7wN3J6qk9)XeyBHNHc(YIy{3N%RmzB3bAfHAFSmQ?NBzXV@xdq^$!ylu27< z*x3=5Kmt{#$<5obo8{d%WAigMQ(#dF-2Q($yr)|=`a<}kq-!3GYewD)= z+aP<0y~4DfykhYN&Eai?0%2d;ka`%V+l$TH5!=J+d=vkwQ09^sN-~M0@{$jv;Rf_c zwgN%xv25JjvChC9E0JQ{(HRy49i{>I-d)V0Gw#8Lo0w7ilm64h1HOv!?cxAw533Wt zqj34{B*rKQqQO_r0;a$b6_C3z6cB{LB!!cQmn~^XJebMq))}@+=z++mAX6(N zdcp=aFvA=+jdL6$C9Fu6dcasS2S9t+8lA@F(HUv8IcyrkimK={!Vq`ScH+g&qP0EH z;1>T>|N20Q8?7mDd<7OiV`6n4VzL^B0)jv*RY0_+<{DBc`d6(pY?aRgkxxMi8AkMk z4QybBIcy?50)o&INyf(#RvHoy`d7^X(H^$Sr*L_6-VDlNzcH++iarOi#ovzy$@-wA zmnP}38S_wQ#UF~;cn_t(>QKbPcyIsprC~$3G$L6I%jJPUSQ{x1!eX28)Fku_5YD!+ zIwf;}^W-WjJu7bYtg-_tsO@o3mJF?`;s32Kb9wXyJDbC%3{9>B5Bw-Y+|f3a^U}(Z z(I?|H`26T$Ztktow8nQr3XGmQ+zI90H`J<9RX`l?o|Nh=ZIHw~var}bO+0ZLg`>`} z1(vPbV>erBczHC$h@P;44a_iyO-S40ARq__kq&$;VMD`p;%S;-9mw0m0`>^h7mns0~?rO z4x7drgOL(eB#S&Rp8p7Z72|LYkoK@TA@VPCd2~kFYz~_;RFaAy=rh`$PY=Aux9N4O z*Iau4{nlsuj*~ccCo#$e4D!)DZ!KUT3mk%v#?)4(V;UG~n5fD&I$AlBwH!bJ&z$&F ztnJFHknA+m&i(;+duj|GMzWGdo<~pSMYu9tDAgHOWh8F(K!h5Ia3CX)ZW>?BSd)&z?^HkC!*9MULn-TI8^7P^Q2|DDc_y%~Dpwa(N&SRw0xJve-s{ z_4AMa1;7m^oNZyNj2?(A*JA$a7eBSSuBNmBIQ(oZZ8lx>A7w6&ju4T}VN-@C*MSHA z5cd2Kq-V=XXPepR#Bgn!orqt5T-1;Q>tH&QS{MGL$ zXY}qtJ4>5nC5>yWckHiza~ZCS7X`# zu(Edwi-%Nfx%dX$eOr4(^YiMh_NAxIsU6V0o5K> z=X_7$TFHcnxs3SJ8rA{3op=d{?IZSpM+(LsG*xZ&cGrljeAA~|3bJxA4}q&OTL8&h z2X4BQM+epTS~6_GXfY1hMt9|orXBqzMkrjzyQTfrzNh{*#))s2c($vDMd+dt4=S#K z0o{yr{WTo7>D-Ymp$kTK2=hF;2Bo&4X>m8sc5K_dxE9*_@380Z(D$;sKAQf7=Bxf3 z($QD;QKRr z?0Np+F96hFonfnt`6TB*tcfyA(h-&r6MaY7-gNi1QM|)={4l1GM0^S!d~$oj`4YH& z_l5Ud?T*j;iFS`W_xG;P&fX>XLZ6!PzeUh=Wk~f}%I^aF51VH}e==&gAtT+-_rc;S zDy-LcGn%{4TU-YYhe;I>Ex!!#Kt+pf?D@uDZhP=a;6d1*!%j6UK)RJv5OyXYkd&cO zwhq``nWMCIlF(IV8T6HbcpSX(Gfn>M3vSi&NzRj|B*g?BVF~fj_Yg)*e06;Y z=5yqHJfF97%T~H-K~` z#ej*EMviCA^%gHK-xyE33`W8*a4$RT;MR$%fN1&UM$eykv5h>R{Pb%LQ#ywu@U({o zx@?Y{%3P8ZqliBWG|JWiyE~WgWl(-(A1f2OMq0{1JenW8H5W11E!$h%)ETx+#x1Y- zlTUI{6&3!as;xPUxWRVMRRjDk5Pz4>Bm25tGQJ01Up?FP*nVCC?OvXkJ`-+gxKX(gTi>$-4aY z$g{#%Y8s4y!HII%!A%<#AHv>zF3Vi=%ZxVF3n5w=8ijShuBVgrsfg0nNkZ2ssjDc> zKlilh8P%TJ^{@!7T0TjP^og+ZK}WWPc<6f&dyW41>blDOvWn;f%>RyWUzZa>`_bcE z-yNe_56j0QoZ_$#E6N?&*K_3^Nvyjmuu;IzKL@TWhrZ+2111bxR?=wAPu5gMdcdQG z)B{M(R;Z=g!`A4u6r^5b5&}_USO@HSmRQ$gQQA65=o(d2G7yhuuvHD!5591S(j4}AgZxap8!w} z`#pN_hynyZRfAGxE-5}3Mc^qzC8-F4J}{i!JR&Nb0D++xiy9v8dY7OmtUChkIWV9rt$e{q5ELB8_d^BhfBO* zw7Jg<%@^i=tlg7*1A^U6XoVKjkXHB<^GNB!BX}J1BnWVs0xUd zUq*Vs!van?@YqBiIBG@!C}ICkHwgsLs+GBwrZO6f8wrC2Mb-X{ zs-v{Qh^uyT71i!JsAgvXQ{Z3Ve=Sk;+8; zNx3|{ReSNK#wF~`a|fZ;uPIDkv3P^g;nBam$#C!r*ro~p!(llEkN%ht*&H@y=q9N>2>Kk;R>ut9 z7aP*v+5DXujNt4PXqcV^!pSFha>mo55x$z>0US|L>0FpvU-1VfsWgNQkaf?#Igb16 z&*(W%pw@mmG#01USC8DzTBGquTajyfLKy3SFGG{-zyrT%Tb=LgD#q%Ln*z7j4#nykpRzH^W^o5Q9Ijlznm z=woIIm;%REAo*L;u?KXP@mGt_YQS}Xsotzz0a*=00YT_nTriV{M~SBz+cTmwtj@_p z2j}@!RGv#3hs=tlO=m@gM}tMKt%!_r{86CES@*yXG8)8lI2vfU2)q4^`TTyyj|=5g z&5s^9=GY6h)rIDrq&@nEa7l#W4u70~-s9wy;-cp2!BuBzldPm^@umE~#@ln<8Ft&T zu;<~&grz(h;?|z9fo)S=bk!L8Ktx1_Rm}lWu7ZTCd66%2kw>V_tnaX2gr;ZR1Aj!r zcgjW@z9@E{c5)Ndd7cK#$`mjKGzCs@qVk+iPmRIwXaPsxj0fJDb%w3cO&*9)0}&2n zq*7zCvnOm|o2Hp>a@@bQ#$cp`O$Q<#FsjBSjRT;uv{AXxi2Tc39*`5Qs9wX^2imiN zTt;OIoVfy+R7M&mDzl|1uvruCc{o@>M;eVJD{(#Qsxg>Fih-~$XFR1~!4IW+N}JHH z^pm*3qcPM#gaa9=ls4?_2^-iz1~!MEA#IO?fFK-5yv^hyVMD`p;z75nIUw4@R{6?Y zE04}dip^ou7*bw4`i{;cv_Md z&bF{sN)JSyO9~lA^n?v;UqkrGxUOFdw$nFF9bY>iIi^5~4T*&H^FVMWz- z5A-xM1x$g{RN#8)!(J_YfHuAhRzOz6P(TpGkSZWrQ*#X|6#c8#8Meyjfyk#Ig$yHl z!Ui@l!yGn|9sxmUi6rA=2`de$2mNa10B8?eqtm!NIwL7IhfQNxQ5AiVrCD78`=65Q z8m$qyyv#ZjAYf(M(Qjf#dcb$)vg^bHfNqZe>P~>Pht&z+Q@B>!nTb)vpVqJrSO~H< z!jJY5d%$;uN~Hs!Vym~iMqFXz=1;X0WC~{>fvYiF2kcg;X~Js?Z{SQ`g7}i1@+QL> z!j9xkh8YjTbbGx!tj>3>rLcXWB$M=H8wAl~AGXzxrpHyjDD#UNP4{4^yT@LKXxh_JpuA3JcV-?fKy05%M=P1x$e!1u&_MG#W`}T&Jl3)}@hlKmUIxVaR%U zAP`1+{=|!I>H$PGR*C><53AD6&wa{V+k#;~tj?OWMm0t`UYJ}$o#H`+z9;;Q3MrVG z0;Yf|U<#N5ra)N%LX%z|2!xTIKXsi4Mcvd%)T%6Xp8 zsH$ljz!WeAniN?4|8%(cFN8PAY@oALfRI(W9Ep}+MtZ=bH9qwKQZoXeJ#39m))#{&_~Lz|I4*?x6_5m8ulX}73rzfe1CNwZMX z$5-))>tXeLUc6ZrYUev1OcG_QlP0YzB$&Kn@dmi?HbQ~0FKtLY4Abq!GzsTRhQ_aa z%!SqYCjM2SoPw}3Nffq1x`<}n7$eP%BpbptI>RA@YA3JtR%G`wn`cU91bHr^6>y+ldvLL>RBW8twVErSe;Dg@@Tdh9V(cen*xh} zs(*cMiZ47LOvh#|3iuX~VKxeMmNrrzgf;#a5AzVYGi>#Ac_8u?jubMCh{B>vhdE$F z+8zh_cvewC8#7bD6fgyrs{q!5JKE}w{SV)L@n2s$yae|hA*wN?_(%#TzZqB3aEpox zT%BPz(F;GgvH@qI!jYsH5rsvU4s*cXZ$;%fTxVDevTSc~Q#l2Xjwln^95w-peKS+w z!W8hM^WEHAqiK!rgcQIEI?~)ovOJg1yASO>L8Y)_Mm2^MA4%a9L`@oQQBmPcbcU^- zym@?>u+kL7vmdrf8Uq{-Lyh5akdFrln}iLLT_>J3u(EY%Zx35x)3{b1oj0?-!+r>h z{e>I8Q#R7RI+AQrT&FluElNNej45CW9A1GFov1oXn@}EX7u(d+qIGB3Dy0V^U*Sk0 z!-yy>x^$QWHq;m%2l;rViYl$Kz@Zy+IUw4@>U_w*%;nMfL@TP-F!q7=Y#^6WnF437 z049}@hKb7TvK7F>J<@0-S(z)UF{JoN$|S#GNE&YOj0#aY!wu%W@8Q9(d6Q@|831xx``;5-$GYK)``h${$IAe0Bvknyw#)fu)* z>4C^UGm@kj5rsvU4s*cXp`uD_tft_dVe6db6g)bg=rgK%-i9y*Oo0Xkt{X5%g{+Pm z-GZ9}ngUUcA;m{hIQb1n(qI+U`XO1Y1FMy4nR>Jn6WSbh%2iNwLP8()XPL{RpH)<< zde&$PEL{P@0HcYaJj*lE1HSXy=yl=&KsU#KbtgdD!|H_ZDO{`Vgvco3&o(R$nvH;L zAF&5~N2pXf04lb6dvC<$`4}Us+oxIzvT`sFflIQ*L5F>wu{XdcFF}0CPI;5z3}Hv| z(!-30VY zojcGoX~=l?A|!`=yTr3yJ#50U>mVLfS_6lXMl(~C?JkGD@APJCH3dw8gDOB^*XId= zFw*lUo?}uEAT=WZ+QZi9G%lG)nS?+jVR6u5(HtivVLJ3+3J~lk4H*w`H4B^sU_ES& z?!Ys8HM{{#fnzAJI!4g0nD&~NF1hXu#sqEFq5xJMvql97IDMTK2qQgzq;4P_cx<9o zAC8(40PSIGbQ+fwGE71slCU`Fuqa6(NeUO-|*KLWWVqpKVwi zbXaF;Goqq;i-*@4R!!&?w^~J|N@R_uKu`b^)JSt9$&BkH74V}+jrHNcHu^qj8?JAI zwWIDqDPCL&1A<}>J4qD~Ex!!#Kt*eO>H(x?1VDS(8lA=^Nihk5NW$Wv!=ki>Br6$M zlZJjXH=u_gT^ytFjK%3Fa=BjQ{Z?CxcwRH(x?1b|+Z z2n5iomAQsgRL~(xyBx1rQOQ6&vH@F@b%w2SMyJ#P9?tsqgs?LT3zV5DU}%{s%@=nno@KYK=1Jp~&8t|2p1V7LP1cP~1`ie@H0-k-}JCLZ+C?R{?U z4671;!qc7yA~*d#*-m1Nh{B>vyG32gziRpo=|N z+#<5t9mMDice(FjANSr}%%O8Mapxw+HH4Arq>_5Ts2blc4uJNsD&6#s%H`1+NwGO> zLRjpZnF6N32`CWN80nl7?rFbCWk^NET%BR7LeAsM&#;oze%LB$3~)G%^vK5pgiXSV zWT|J3)VB`J?O}B?oy()yW^|}vc5VtR{;B@;xhZb>m;x85Kxb(qDtCsh zo)`~AzQU10h7nO%bm=e$Y)ISVARo^vDrjS73YY?>z*#G>T6}hk|N7G673>~hRAWf- zkrYmTL!30+qM`yHjVbP_-9I*FWQF#v685V;q+Z)_ePQjxi z%0xDYO@LzG%oMmV1^noIH}}?PTH`w*1+apSG&hoLQCuggR8(U~@sSixexr5LaEpox zRGncr(aS$PnlNFdDTs$#qp;}GVGh_(V|X0o;{n1ZVS{AXiDwP0Y#rL$!&cZdu9ZjU z&8+XRAHrgP;fAlWjWqlNzvpQuI#HeH$*`2tYoxMeE{Ep!unHMBA^$R$ zN9Pl*s9wX^2imiNTt;OIoVfy+R7M&mDznR00PE#Qqmg7~uBgUH*ZgStWfmz9LPN$= z4z_MVl+Lg!C2^|wG&3^=OaW8C6fgzO zQ-P?)NUDIif?x$gc_0lLPm55UVXKrLh-S4$tDjqidLh-wTeK9a)8ZzM_@tfHDGf;f>fe4J{T zdeE4@8&Dqsv6^+8`q>E_v zqep?Od{O2XHJa|hPiIil8KZ_2t*PV2OSp8aY7QN zLl33^!G6+^@c>t|z)1ks!`A3*Mz1PlfHPEJwfLOuH7|=lMK(ZFpr!x;ps&*cVWj7e z)D46Kk4?1d!%;H=pgnAjPUDh7hDiuS5*7y?79}YpN#TUwL19Tl#>I0B$OtV%aIl}id4MiGCu zVR6u5ou$o)is~&MUT0V}p;z2$6_qNHHJSoJ0ZdRM&5a~8u9H;2j~+GFhqE+4I;;Cp ziZJZ<(#8WGt?{V`keU$y?O|(l8rNbfs)uQfRnKcrZC$b!I;Em|BJ4~Qo#ZCsqk>)z zjy``aZZPLE==|tm+|NWcdep{e3YY>*R=|%Q-2F^cgkj4{nymTJ0}V!cz@x=B^#D>c z0-!x?jZWiQOhqLF@kpoX^g{kfZCx_(sz%%zol*yQIP2RJ!pZIMQ5*b!nvGe@;BGaR@>PeVrBvBRzlO#WwW-q8ckj0JMiy z>E;&#mASSB!+uzuHEQ*I6xA5zcwur0bqc!9eJ|u0)#&>G@|j(>0{1W%o{7NzO!BZa zOo7u>fG}*mJP-&YJ%8fTBJ}`LGXkJJY>iIi8d6bV0C%mZWFS7U;b+x4!&dpu^BGk& z?F9mGX3b22r75uZ|LJhd7sBmVzolJxXE7c^7VV{t2RvHiQx70DBLLdN*61`YDP)+0 zKqO&t&|y*9LXtd+Dn6rxq@n>)j*grD%V=Yhyge^0hwU0_5M7G2tm7#4LcPmF%UxGz*H-3F-r`1q#AgIhwe06XP1f$aF4CJz!LgZx;tZ zdsvljdPn8*=!~S;95x{=_RUNIQ{V&?h-!><&I$Ll-=s36qGGPjuvH=F@#SY&NoqfA zl{5x897cNN;{n1ZVMVgkvqtJ$hvxRMI+@Pp(QGq1R4`kT0yJPSW1i{{7)XiYy429+ z-`u`keGF_0XbO0((b{$u;Dx%gw2|^4tns&an1>N`hTR=>cHhIBuW+Q0VMG)bT{_GG z8`AbT$j1YOO~Q&~sb`JUw+_wiVRbT{%cI#UDyU#)3YY?>fUdwwZ(cy_;=jJM0F4F? zftV|*G14_ZTFMzN+qnoBTR4$LsNQ%v26T)KO%oI490=N+G-~8y1n|o_Ct?}JP0Zhk7 zFsu_J&5a~m6xWF=71bE&njh9wztK9X8_@R_6%~4QhD8_EJX-S=jwHp1C@i{km;*M_ zBOh-w`%Bm$*>&P+3f>vE&RI-h<pScRDE1d__$u2-!$0tQo_3-W)p?!_%gPim z1@a1<;6z27NmOH`YkpW${U+&99&8ue)U$!AKRUyzTxj&z%1}uvf}qcl?6tvhpAF_SI#b|` z6~Htw(lAk*UA6*PFGm`UB+GHF2i#L*FiWzMW<0rIyFLW&47=Ms*(dgRc=HvG6f%tH z2^-jEa?aZ!L{vZo1feBThL0s|XgJ|#R2~VIvlUe*TIUV8nXUljG&2Q8D&R+woi!Jo zb#|8A5fzZtuw}vAs~gZat|VqpOE#ThtCSvyd<%FsYYaw8SkW@|fU#x{fcCI8 zI*rSt^Ia<{WNFr-fNudA#uVsPfY3pv9ewU#qz8Ow?z~Ps0O;oUukHj$dsvJ6wG<|Nb9S{!xhLBoh#vc}t$s8;uJQw# z>*n^|Jr>o0?mC)i+R<-fgi0p;jHfmvzFp$kt{zsUBpwv1Ljo${+IqOPBU@pcJAvBF z6fgyjqW~dna&LQhlY5$dX+!E^Sk(xK_OMkxg-a$+7vW#X1s{IO?Lszlak z3Iqi(sf;u?k}Suy{?#wnV!l`b%z{TTKRW86&$$~t;8s503T(w4TB-Fo2tUf@NVNPi z(gTi>Nki%Zq-F#_d)OMC#xLR<{S=0T0qf;~ zKp5%y6EC)@2N2a*DFUE9tV%b(5U9+xEg1U3Is^?T)$@KwjS;#=71bp?qvC{vWYEB@ zsDLZBSc55G3YY?>z)31V78pF!0hCXJd zfGKc91>|llPfr5jVMg=TJV&OUmTZKxEo_z21Ci&FiIfpNVFMeOVGf(dIgXJMRs>2t zV62$~pgnAjPUG_EjI`MtHjQCLRrE141(vA5;-BhYFHtoclPO>dbSWUKVJIL7-K7eM z*3?`>3Pt~_b%w3-c_8vBNFl?Bp0I%p%rJ*dq(?vyS|Z8#Si(v}>OsGnIRM(j*61`Y zkIqPn&0*6RR#ZhFGgH76Fa<6_fz{%ZT>RIU7O!CU0A)2Smj?o2aw!kOVw>^Q#^4zs zoNZxsO6CCP`BhY&Rd&cMsO@o3mJF?`y}_+zE|0!oXLHz;p~-dNfj2V+&PV}2I+xA8 zHJaA=PDlaFoFmPRBwG~Mi7M4u+9WIKEh;LUw$8BCGwp%Mmm2bDh!H(u0~?rO4x7dr zgOL(8NOqlgKv*>gM0?mOUzuy=(RnlLJM1@x6;;vaNQN(WjWqlNzvpQuI#HeH$*` zRB4TcG3&-9jRT^ww9(1975SIBJo+PTHiu0anp_7S_#@hDgJVA%%x83_z!@umnPQ}2 zqB6T|1+Z|BG#W`(=IW_2m?c?BGoEs=bqkd0469NSw|XE#4MaGQk>0(cTC+K@Hr5!7 zl(1nSm+*{=)_FrIGhKmA<*I|M)f6xVOaW8ibQI_;Z9;h#3B^WJ?ww()oF0e+ zR#Z&w5F#Y$agdJ(2wPH7Wjt%7zIAAB537^uTprDvmEK{$F|3@-br1A3GX+e6(^TMk z>BC+veSkK;3syi@!*Y2b5SHmuD=JRi;VNmYF&KH76_q`s!d22@4<_FNGtB%v+hpia zfPhVAY7cKR%d>C4;X3i4r*4k_>P~>Pht&z+Q@B>!d6-eepVqJrSj^#TBm8I|u?IX- zF!cbU+Uo7D5vyEt&h2gX&tDD#UNP4{4^yT@LKXxhzUL8Vo380mIq>I+K<0YT;r@zboPfU5zl-4wW$0)$@r^dt~Q zdj7<7OzHuoW&}Wc*czS2B@-!=5QrMXI$$^D1$N`KbrQhCJn3;TFVCq5O*I=iqa)TK zXY^Vw)@}+MNrBao;iIilG27r2tm(KMqeqSP z;VjLM&gy=YA`Dw!rv<`D&!2dWPd$Lti~wj4Tcgvs7E@6@T>4-Ap2!Jdy*mmy!s!{k z`hc^;UUpzxpz{~ST7V~q@uNq4KNHpHQ5&BrUUJ3GP>NRC`l zJ;xXZ8a17tfdP%m6gXW4FsY0*8cAkcr>OwerICjJzx?n<2%)dj0%4@*PrTTs9zaxM zr3irbuqxgBf5Mizwgp39ScjmyC$*!-2wmkJ0qASbs341(DPRhi0;Yf|aFz-XvMQG& z(E|-edcdR7BJ}`LGXkJJY>iIi8d6a?9zm40K6Z>ZJo)iBK;Mi9-kNoWts z9S}OL=p0Sl;SMFVL^Bf~r;~o_K|j^_c5wi- zhgIpOcT_Hq&Pa;QVbd5^W;JAJ)}+8j<|eFxOo4U<zpwt7#nmGX4!`A3DE|1Pgo6TX<7*y1}PuL&`$Y2heNRNOZv`9Qa*d(kpq@Fdhy!FiG(A*xjOoo;>R4xw)l45h%G=>#b z(Z|dbI1>f@=sY&})@WMeJ0S(I9E>zKl5A02C#MwF!M+-U;Zh!i#Wv%ac`F-Pgv(Wl zKq^#ov&S~iC4~$lgfkBfNkwd%>Y}U0;7}4aNOqlg7*#h1NOoX^mcTwk;HX-WYvs`w z%CI?XiqJT$sER&EGJKV7q~VKV=V>Q5QJv>$u&hi0Q$SPT1Scxud}K9js4*BGE#T;z z@xWWN&agGQ$pev3K?)g0^n?v;)0(`i#^6vARwPS37_@3!(l`JbOBu6f%tH2^-kJ40G5-dISWaC6bJfC9E{0 z9`vi31E4)@jZWk8=!~S;95#(%MOE}cmS%MY9!$OtG6XAt%gd}o0RmR09sMR|qz8Ow zF1t=V0O;oUukHj$dsvj|=$TCV8P8sXtFh@ITq9^T}hW?$NndKgwU0-`-^l~3W4 ziIhnQM2%q`u)7siqK6>l_vru$U84pWh(|M^O%^x_XnpKWd^V#u$z-7OQed_CbOyZU zW$`)M08N22SAdY8zD^5-k)A(ssg-&FsTl##9=1lOaY-S=Bm|d??_Kd13lL1TtD1f_dq`8q~#&wbk_|c=r`f!%!M`v|EN)d*wuhRlyq~}k(#-|=Y zYDNIGhpo|RT#Kow9^!#l{CB{5UVCcmlC{t&71a}AXQGZmju01pk7zFk$DY3yH<)u7 zbbjkEjP!sV9tebyow z4MuvvqtYVv08%popgnAjPU9Ln=)&!|TKu3F8; z0H%N`Fjj%Z|4)aD|3diK^I>eKqyS;CdU+raMtc6lrA6uiq-F#_d)OMC#wCRelMsj+ z!#ZGhAGmauHWyh@?MVg+&9Vx(^@W&iGv}@Xw0mIt4GKiR$VI;tJM@lbCO+Pu5FaKU z^wRBpZte`L5`Mz7tp_4E>pt23TF8i=uz?NCY&~5WzAseHGxKVjkFR>eYHZW=;?1&9 zJKynOlAdh$gql{kXp&bf-e73qZG-}0U)qp*7^d5csW+_7H}S6u*EVP#fBA2U*31FW9=1lOad~t`+H4M+#;~F)`k0vlOH^R- zPxY^tsG5z*6fgz46p+<06cB{&QUye7YOW!LqJPyo!&dn`5cw3OkYPkm*uVy6n8PO0 zBOnMZkz{-nwy-)SbAa>wDk{$^J7gBr_Bbd@hE~2B7qyT2lk>*B{EsEO>SWyNfyk#| z6&1AETDeT}09i$~mCE)^ffH1KFy>^Y_V6ZCB>VOot`iS>>gM>b?gU7CSe@`ag=@9_ zU5`=3pVqJrSWJLxBm8I|u?IX-F!cbU+Uo7D5vyEt}r#;q>_c^U(X2UW0@Zv7+3kC z%r9y*-Gia-9(x_4X-B__(K9LXGM>E%$svBT?hKo7>^g`CCB=A>!gglr3rh$AHa0hJ zZDR_U0>@E+5H`8DJ-o?O!oIX2^)Rez1Vnq-Dxbn76DgArh#JE>U^nFjc2hkZyvf9k z855Q?WISu6zD-Vp13qC7VRbTYJ?xBL4Q~Kb;1~+5juEsgroHB+ORhVEcjxvylbtmI zR!p--1qist8iSD@@Mw)sJ%H4V0B8?eqtm#g_+SzOQDayK?CxC`rLB{MuF>D2WFQ{R zU~96@uvJd0sHzefz!WeA&RhW+kl!V|Gu;o+>5?c2$?D~SKp5%ybLWbR!x4De!vbA4 z$4zA}DP$N${86C!Vgr3(ouy6k6iEi+0~>y-_7=BxhE@4qace$F)P7rKc)ueog}(S{ zW(u5(0+>`rnj1-GTqmi3A3bVJ)CacF_d(lmeH*MDb!eq%UR-&*vyvuNK(zca(gPkX zwy6h@nh^lyDri4!jZWi|LWW5QM2%q`ur)Y>t&@bV(KD)tiMoJQtut(uFVfZl z9>(=Vl455^SON+99?@P7jy-=-Y%u3C==|tmtnZG|tj1>wm;#rqfFGUw{Y+GZVarMy z)>aR1vZk^xZAd)~s~Q2(9=6J-a7n?yBm|@-X&BaKwD(b3dk)_5!h zb{F3fW0?OAGlEFvAhb5t7>x9QM~iLh0Yo)ciU4R2tJ2Lc1S)e$@xdenqB1mJY@iP; zN?RuZJgIr?85Lw{vBl4rz9kF8m;$DNDPRg*lmdio_3}U$Z;!F)>zn1@ zp!_D<{-p9Sog%B_dY=)UVO7pgc-r$oBXC6p?1FG!6ZG|?g_PiO=0qi#TyJQd0`>@(uUN-Fx_5k-j3KFR_B}eSA{Z{ zyke0_R+ucxP@jFhk@@McauNH;dNxK!aP%Q~gqY*QEyYA4CC6$7U@G_!dwFv-lZv*ySLrVJIL7 z(nu8$t*N<&6pH>;>kM1v^FZWNkV1wLJz)bIm|+f^NRNOZv_z8ev4oX|)PsICa{#o5 zt7JH4Fs=A;VAw#A|A< zA%&uU)jGpg`8*K$6r_-0L{Hej24l0)lWL@iw=+gbfY$j0!R} z*+#-9>`_2Cr)H*rDPRhi0;Yf{z$HOXjlumwc_5|5;{WRBrL^JU_hcLDrCBXr;~jRe z);EwaO2Ud{sRxWTa{#o5tuEuN~uv?*~>GOPi184H?#h2`qHyO?lc0{i!MBj`DUe#Xj4y)2l z*IEjbyke0_da@0I=&=vm>POS#DqocOMUAF=Fx1^++reQsns)S?81Vf`|0?kSr5oQa zyF7H9MWb|LBr z%ZQ7Sjk47*3p6TI=lNI)Y(7??502xndHG=JKB(j2-2OoX*U>2qncHdgVmG^kq#uEbg|7nfYgiuXb)SXv$(X75fTPbW7q)fq0AjMM(pYp6_jvu1x~NP;iY`~ z(G*62dFj!{k7l?Q0veF$HM}!@giZsbJ{tSmK@#9eX_0#XF-^iT0PSH-x+$1k z=Uh>}mdp~hZOLqzr1hPA{2oj|ISlnRFbC-9 zf^2&nrEQa-Q>JCX1Ds`$KT*3L)}ov9PY!7SJdSj)j5s^OGDtA86WX_fV>9x1a|K+1 z76mY=j5Hoe=3M8gz;^K+H}>6am2recCWhBurxhYdl25wW<{m&y6Qvk{_OK@1d;@Bk zYhN(*g$)RLcu+fPjMz1Kj_MljQGJ|~7V@6Eg>z59$L|Wb0tT{DzN&0bh!El!p9yDXFDea7=yLT1BD2Zbxd)J%F#zphYjhTu7BWJ@AZiR7 zfE{^{N(VwaeLUFh*zvYYwFwzblgsX|FuvMJz*mon8kX!3jA28l4s_%Hs9a$hPBwH z>HhO&p$`5Xl92RddnDAf!AX<7V(|e(i*F-Ti1_k`+#@jC?x)_cHs2&)6_!)b7fK3= zrt(&HwBZ5tS+)v6>!}Q9=12=8$%b%^&Tz<}+DUNjJ;nk$ClhzPLK!W|%q08yq@R1x z&osGQ0s!q{O}gnTlZ((9Nr?q)8pG_8})~K3~$rW$~x)jjWFcuJu?pg&TYig+>hoXPgI>T1^2#9hDTF3~ZCv0Q` zGb~_}=n)Z&mP9hy*Ra}2aI1#B9_s;cPY<_fq1uD~@YuvvYQ ztABiH^$KT0vGyzdAHT2eyAbP?^HZa2iHjOogAT?~1>@M?wuxbH__OMmHWv&gO^KRA; z_`NZ#s){}*GCbTh((oVrUZ$PtM0J@5!?SV)T!FFzXF5^oY8VR$MtZRdNY~U-Lk>m% zs&$5~@(~c_6ts{LL{Her24+~mrm@Blq=r>3a}O9zlW+lm_OK@1oc}Txq4SwmR4?ts zyIbFM_wWv`z||^%NoAyAqISD(1+ZR@G#*K|%+*t42#b~naa}HWmV<3upj2mAlai&C zfQU5^V@F4-g^W0R!bUcb!2&ihZNg4OFm^QFZgSDEvBB?AK_)j>z!h)>Tme_$G8O2l zF+_n_9%yM%@U#fk8MaDEK$I&SEo}tR6E?De85Xd4L`7A&YX!!d1pwN^*61uQLT98c z7O-gytE!@pn=9Z7oU;OVYaje(?F01jU9keX8pZ;GAx2g>5xinqL&38}+S|^sHkq^% z5akrSqJlPiTP~9bAg`$QQu%MLz!@sQ7;`pL6TI0JDZb-|yUc^0wgvgCI|I@l)+YRg z!L`|c*Ao=Wr!{N<78Bss7(d!aOn@f}<{m&y+kDwIVv}ob{LxB5zZ46U0dLGU0J|4z zn!c9(2RN7CMyL=$WiLG}c)(`bC*5I9x=Fq&JOEKlVZxKMn_bG9N)cLqJtLS;Wi&c4 z&hk|mt!gyggW>KTM;(%B$G(WsGb{26o}&oaF1}fJhRrw*9V~;=VmwP>KQr}(WrP5m zSeUoIsVRV?;N}X9SAap8UE2h2HkF7kZ^%6Ys~Q8*9=6J7aOp%UBn+a)umRXzd4XSZ zJp#Ph#2v5ItRaV@f7P92X|lIzjn!@2#@ zWS2`2v|7L19LHqFGtInhtTChmp;NNP=N>?6#sIX3t&mY1xbJ>i*4=!q-G4jauswOwnk@hX(1yd45G%c0od(5s_mgj(zZ!r*W@16 z^Gsd9s@55{%9m&x0FUFk)uhDP5tc!Mz9+P|gJaJRiVfym2OW(b#`fwM&FXxvfGcp# z3ef1}Kh8wO7`CpYacw1dvo%$Gc|-0ISk)Ma_OMkxgG&nrAz=_Th7G`OD=Pd}&?CTG zl&sj0Ljf}l)lYU90=g`QMdPBev@BOtdu0UH5f-d-U;I3geLFZVBY!tnz!hjw0F%l{ z}b)E_wF1{l&nEwtlg2?1xv^Lflf+WC`#WwcE;&#Eput{AtVf< zWoWtBKp$9=woL+fR`d8hD#+sI3b+EUfGgk%T%-bwtnBhYA%Z0Nq@_jf0i;UJp$KAJf$SO@!R41sHT5Et8mXXdSJ zbPWnaT;L+(Mpngsyb!`A35 zE<$G{B^I!046CZ5kDDvt3Y<)V?W}&X@Mjbl^YSBY^T_^B-+jR2O9OOz`aD+@L07}& z@<1UnY%LGsVq5UkvLgW~XIogCQaHf5P~m7HBZ!``ab#eI1#Dv4gq?_B>?F#_zJ}F? z+=G6Wk%S8Xw1>6mrsF`B|LrZ z^zr;nYzv-}I>$ccYzuqbJ=-UE%3NB=2%;x!WZP92 zT{VV;(y&poyUc?zS1kY$)h6u3fiZR>`wW4jYKvSOLSL|p1#A|fX;@VieNJR}lx?Kp zL9xrUvzw?c^DuZ;u7E3GDR8C}m9B=%>$E~7{bT`0L&0OI6x)=uEo|(z_><65=F&n& z5Itcd8<=4Mo5mVLkQ!Dc%ROK;O~M5L+QXW3bNi5Ad{Ob;0m|`u7E3WnF{pO7@|Nd z545x>cv^(&3|plnAj%bvmNtUu2^-nK3=7yiqM|C?wE|h;j;E zQ9+x%Etg3IkXKZDsr)xr;0zUDj5(XB3Epgq6yI^fUFJbg+k*VnodIbNYZLy$;M(lJ z>j{eG(;7AaiwSURj34bICcqN~a}OY3ObcI=B7@T|xyc!0AE->f^sT67c3ptKmzQrOQ-ePJ0f!6pWF zx4HtZQ(YrRe24;!PT93h@Mcqq`0|F_Be1G55ba^Bd?6#sIX3tDkCk7By+B_RDed08tcOo+vw|{ZMf71Yezk` zQamrNvbD;QWcd{&0iLY!xd)J%F#yX|&~exroy9evqS_vcByD@_7%y&bCHJVFXP$am zg6Iremd%z)VB+(;3#}V`*KksP@YAg$3)}7e7yA-wuw;kloD{a0OZvz@#$LcqEx~ou>ka zi|>dGaDU_JjS-@;#t7=ZS$H9Ct+OB*3!5H*Gk zz#f*#kFBV5AOvQxHCboaDrdQDoa=X0Mo2osGGd~yn=9Z7oV^08|3`5uaYdkf+WC`#WwcU&FtB8nm;K|b`+4R;FWbJ8=FYGt;SaLN5D>{?vm5E{{?P?N^n{IUU>57? zD)3{WN}ie5+I)Z08`ff*ru)yAg*te~Lr8kEJrZi#;H1f3vG{p+VBASEL(-3^;Cv4bEJilWJ9<{XEEo_yNfGBh6L@J1$u#pYSuz*eD94AN(s{-X7FxD&p&>pr% zXK@iaBW}d7uy>*YY4PwgpdZ3?u;MYzu2s3I{kZ zuc9JRIUuvBHeqL3GPIiZN?Mn>2z|jW7O+`{X6FF`@8$|zkODM1m)*5Bn%4QwNCC{8 zBQ1<1TNT%tD%DxqWGm?hR8%-@onfm-nt&*m8hUF;5Itcd8<=4Mo5mVLkQz2hc9(fT zShWB|d)O-9GS`OCc{l3^{N5N=RYji@86NH$Y4{I*FVoI+qPon3;aRx?u0UCVGo7e( zHH-xWBfVG!q-$!aA%~)W)jGpg`3Q(|3R=hrq9<%*12ZgO(^z8&Qp2j2xd)7i5 zAd{Ob;0m|`u7E3WnF{pO7@|Nd545x>cv^(&3|plnAj%bvmNtUu2^-nK3=7yiqM|C? zwE|h;j;EQ9+x%Etg3IkXKZDsr)xr;0zUDj5(XB3Epgq6yI^f zUFJbg+k*VnodIbNYZLy$;M(lJ>j{eG(;7AaiwSURj34bICcqN~a}OYvX>qfJYX~JlkTu4-6UTX9)KvOFyYDB z%`Rn4r3fv*o)OHaG8!EiXZfm(RyCUL!EkqvqYlZmV_(GRnH6~j&ryVI7vHQq!)6?Z z4wgY_F`lKcpPBl?GD3jO%@uG3POJbUes*mWyxCMDzPus#2&`%hM0?mOpTVUQsgN*; z8p8%)4=bun4@G1*M`G8cK?g!;23wPLhOKh?j9!(<0hg!1X7wrgYhG4=ha}E+sJ)yfZar1)ogn-kRaGVjxB^fB zSKCMnBgvfWEES;9qsIDhkw&Al`Z!84hHbCY3K1m9Ctc%n4+}3BQE-$(B2M?JwGTmm~$O;GWUeWV+v@ zf-G*XfGgk%xB{-gMJm9^YPlRqZfFRS08dJb+yh9>7=ZS$H9CuHH5HW(gpdwIY_ddc zTe2p;tTr3atJg9j^X?@T$ZPxL5@knc3^KLIg?j@rp_`uqA^^ zu}&fE%=J_5K~v4VqN*w6?XG|;aG*ePYkc_|6z#ek&t=c^+3kS5{Ofn(?hIQd+IfGF zX^-%GY5Kd}Ke`}@p0JS(%wj!V1%514$usj>o9}OW!&+?9bpQFXPzTR=2uV-2M?!61 zQ<%MC@c~22URWr;ydn1p%(na8+Y#Ht+I*9IRaoZIS1bz2221raRNvc}Kq|qXv>9jS zNDCv$hH#C}aLAzANpS5w#sWHL1!Q?{7i8X$d%$R#TrL5C_OK@1^p(j)=!|JcEMU_Z zR%f+s5A<}aDX`PI2J?1Tpicq48e;*$=${o%1g}`uQ1EP#_O>&uO(v}bL^%bWNCnXo zHnM>k7O-iY;{>T;RkGXz#+n5H+QZi9EG|N4q%9V(X$-5XqK}&^uto(|e^>u*jjH*W zTme_0O95RCV*$bFu2n#?rj{CVDEe2eGi;TQfGDS+g^VD2!bUbQ!vZ#m9udK4NhFhf z4XX{g2mNXm0B8?eqqDdOospDSz@{;*s){~tu7E4x3S5H%o7E?|`p1`6uVD88bv0Zr z4-_KgS{}s3w&1CafdrtOZDDOn;Q;65Ra7J@2V@r2ChROrhE~&FN$WBfp)c6Q0yfLg z>^vag-CThSQh-M1vb(lM(>mW7DS(-Cq=k`WtKvFSr8-NSY$g4GiVBCVGi>!p6Az{68F@&kX{ zkv|GC{-E_@(uM<%Gy~dfGbh4+7XQ{1yE1<1=Rz-V(fl_z*}+H?kERlbnLstE(#X~$ z)J>SapzZNsNpfvM;gpGgG2HzP5sr5_7O)r7tBG#pZwtFK?u+To{&bofX6VZ>NyDUP z9=g`;6+aVYUT;r<-%fu!efitzkLGURn%V0lJ4C!9_?i5{_BHRkFX?8>{%o2HD@{fJ zS`wiLr<1h3qV1{3&)#jB{&@Oxt<3&gMt8I2+l4v)@hp7v_X?Lr5^3-M_x+~~=a=&N zNBP{#X+1ms^Zh?fU%h{SGvNSyCZ8Z5IOZ;Yc>l8r2o|Rzc3plx{rf*ZXF)s*fA`I2 z{O0%DC_6_^s$nB3-VksvAC|F9pU5W(i;-rInd2~*1c8A85l4!FB^+_2VIF#-$02Eq zGQYzBd?gFhuYUOthYf!+y_4O)z+CdV{IdC<_dmV4`@i?k;hNMn{fF$ln11v2i<{|B zzovwh^V{iw%zI z-X-JOy5hKYL)D0JsEYa}1~Fj}$~EUWyAE=^?LH-O#Al)7nWzUmI^wCBpS;<@LKi)) zaPmgSGU*{=9)~EkKNDpqxd3#eh?0=Tu!_KGRUEpWtI3HMvsKb8IXM3C{{8QN_b)&F z*DNIS(Sc#`Z(q KRtf+5tN#bd8ux$z diff --git a/MainController/output_files/MainController.sta.rpt b/MainController/output_files/MainController.sta.rpt index 29a5149..2bd8e90 100644 --- a/MainController/output_files/MainController.sta.rpt +++ b/MainController/output_files/MainController.sta.rpt @@ -1,5 +1,5 @@ TimeQuest Timing Analyzer report for MainController -Tue Mar 12 17:46:55 2024 +Thu Mar 14 11:15:44 2024 Quartus II 64-Bit Version 13.1.0 Build 162 10/23/2013 SJ Full Version @@ -122,12 +122,13 @@ applicable agreement for further details. ; Number detected on machine ; 8 ; ; Maximum allowed ; 4 ; ; ; ; -; Average used ; 1.00 ; +; Average used ; 1.80 ; ; Maximum used ; 4 ; ; ; ; ; Usage by Processor ; % Time Used ; ; Processor 1 ; 100.0% ; -; Processors 2-4 ; < 0.1% ; +; Processor 2 ; 40.0% ; +; Processors 3-4 ; 20.0% ; ; Processors 5-8 ; 0.0% ; +----------------------------+-------------+ @@ -143,13 +144,13 @@ applicable agreement for further details. +--------------------------------------------------+-----------+--------+-----------+-------+--------+------------+-----------+-------------+-------+--------+-----------+------------+----------+----------+----------------------------------------------------+------------------------------------------------------+ -+--------------------------------------------------+ -; Slow 1200mV 85C Model Fmax Summary ; -+------------+-----------------+------------+------+ -; Fmax ; Restricted Fmax ; Clock Name ; Note ; -+------------+-----------------+------------+------+ -; 157.16 MHz ; 157.16 MHz ; FPGA_CLK ; ; -+------------+-----------------+------------+------+ ++-------------------------------------------------+ +; Slow 1200mV 85C Model Fmax Summary ; ++-----------+-----------------+------------+------+ +; Fmax ; Restricted Fmax ; Clock Name ; Note ; ++-----------+-----------------+------------+------+ +; 50.89 MHz ; 50.89 MHz ; FPGA_CLK ; ; ++-----------+-----------------+------------+------+ This panel reports FMAX for every clock in the design, regardless of the user-specified clock periods. FMAX is only computed for paths where the source and destination registers or ports are driven by the same clock. Paths of different clocks, including generated clocks, are ignored. For paths between a clock and its inversion, FMAX is computed as if the rising and falling edges are scaled along with FMAX, such that the duty cycle (in terms of a percentage) is maintained. Altera recommends that you always use clock constraints and other slack reports for sign-off analysis. @@ -164,7 +165,7 @@ HTML report is unavailable in plain text report export. +----------+--------+-----------------+ ; Clock ; Slack ; End Point TNS ; +----------+--------+-----------------+ -; FPGA_CLK ; 33.637 ; 0.000 ; +; FPGA_CLK ; 20.350 ; 0.000 ; +----------+--------+-----------------+ @@ -194,361 +195,364 @@ No paths to report. +----------+--------+-------------------------------+ ; Clock ; Slack ; End Point TNS ; +----------+--------+-------------------------------+ -; FPGA_CLK ; 19.618 ; 0.000 ; +; FPGA_CLK ; 19.752 ; 0.000 ; +----------+--------+-------------------------------+ -+--------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------+ -; Slow 1200mV 85C Model Setup: 'FPGA_CLK' ; -+--------+--------------------------------------------------------------------------------------------------+----------------------------+--------------+-------------+--------------+------------+------------+ -; Slack ; From Node ; To Node ; Launch Clock ; Latch Clock ; Relationship ; Clock Skew ; Data Delay ; -+--------+--------------------------------------------------------------------------------------------------+----------------------------+--------------+-------------+--------------+------------+------------+ -; 33.637 ; LedBlink:inst2|counter[13] ; LedBlink:inst2|counter[0] ; FPGA_CLK ; FPGA_CLK ; 40.000 ; -0.076 ; 6.288 ; -; 33.637 ; LedBlink:inst2|counter[13] ; LedBlink:inst2|counter[1] ; FPGA_CLK ; FPGA_CLK ; 40.000 ; -0.076 ; 6.288 ; -; 33.637 ; LedBlink:inst2|counter[13] ; LedBlink:inst2|counter[2] ; FPGA_CLK ; FPGA_CLK ; 40.000 ; -0.076 ; 6.288 ; -; 33.637 ; LedBlink:inst2|counter[13] ; LedBlink:inst2|counter[3] ; FPGA_CLK ; FPGA_CLK ; 40.000 ; -0.076 ; 6.288 ; -; 33.637 ; LedBlink:inst2|counter[13] ; LedBlink:inst2|counter[4] ; FPGA_CLK ; FPGA_CLK ; 40.000 ; -0.076 ; 6.288 ; -; 33.637 ; LedBlink:inst2|counter[13] ; LedBlink:inst2|counter[5] ; FPGA_CLK ; FPGA_CLK ; 40.000 ; -0.076 ; 6.288 ; -; 33.637 ; LedBlink:inst2|counter[13] ; LedBlink:inst2|counter[6] ; FPGA_CLK ; FPGA_CLK ; 40.000 ; -0.076 ; 6.288 ; -; 33.637 ; LedBlink:inst2|counter[13] ; LedBlink:inst2|counter[7] ; FPGA_CLK ; FPGA_CLK ; 40.000 ; -0.076 ; 6.288 ; -; 33.637 ; LedBlink:inst2|counter[13] ; LedBlink:inst2|counter[8] ; FPGA_CLK ; FPGA_CLK ; 40.000 ; -0.076 ; 6.288 ; -; 33.637 ; LedBlink:inst2|counter[13] ; LedBlink:inst2|counter[9] ; FPGA_CLK ; FPGA_CLK ; 40.000 ; -0.076 ; 6.288 ; -; 33.637 ; LedBlink:inst2|counter[13] ; LedBlink:inst2|counter[10] ; FPGA_CLK ; FPGA_CLK ; 40.000 ; -0.076 ; 6.288 ; -; 33.637 ; LedBlink:inst2|counter[13] ; LedBlink:inst2|counter[11] ; FPGA_CLK ; FPGA_CLK ; 40.000 ; -0.076 ; 6.288 ; -; 33.669 ; LedBlink:inst2|counter[16] ; LedBlink:inst2|counter[0] ; FPGA_CLK ; FPGA_CLK ; 40.000 ; -0.076 ; 6.256 ; -; 33.669 ; LedBlink:inst2|counter[16] ; LedBlink:inst2|counter[1] ; FPGA_CLK ; FPGA_CLK ; 40.000 ; -0.076 ; 6.256 ; -; 33.669 ; LedBlink:inst2|counter[16] ; LedBlink:inst2|counter[2] ; FPGA_CLK ; FPGA_CLK ; 40.000 ; -0.076 ; 6.256 ; -; 33.669 ; LedBlink:inst2|counter[16] ; LedBlink:inst2|counter[3] ; FPGA_CLK ; FPGA_CLK ; 40.000 ; -0.076 ; 6.256 ; -; 33.669 ; LedBlink:inst2|counter[16] ; LedBlink:inst2|counter[4] ; FPGA_CLK ; FPGA_CLK ; 40.000 ; -0.076 ; 6.256 ; -; 33.669 ; LedBlink:inst2|counter[16] ; LedBlink:inst2|counter[5] ; FPGA_CLK ; FPGA_CLK ; 40.000 ; -0.076 ; 6.256 ; -; 33.669 ; LedBlink:inst2|counter[16] ; LedBlink:inst2|counter[6] ; FPGA_CLK ; FPGA_CLK ; 40.000 ; -0.076 ; 6.256 ; -; 33.669 ; LedBlink:inst2|counter[16] ; LedBlink:inst2|counter[7] ; FPGA_CLK ; FPGA_CLK ; 40.000 ; -0.076 ; 6.256 ; -; 33.669 ; LedBlink:inst2|counter[16] ; LedBlink:inst2|counter[8] ; FPGA_CLK ; FPGA_CLK ; 40.000 ; -0.076 ; 6.256 ; -; 33.669 ; LedBlink:inst2|counter[16] ; LedBlink:inst2|counter[9] ; FPGA_CLK ; FPGA_CLK ; 40.000 ; -0.076 ; 6.256 ; -; 33.669 ; LedBlink:inst2|counter[16] ; LedBlink:inst2|counter[10] ; FPGA_CLK ; FPGA_CLK ; 40.000 ; -0.076 ; 6.256 ; -; 33.669 ; LedBlink:inst2|counter[16] ; LedBlink:inst2|counter[11] ; FPGA_CLK ; FPGA_CLK ; 40.000 ; -0.076 ; 6.256 ; -; 33.835 ; LedBlink:inst2|counter[15] ; LedBlink:inst2|counter[0] ; FPGA_CLK ; FPGA_CLK ; 40.000 ; -0.076 ; 6.090 ; -; 33.835 ; LedBlink:inst2|counter[15] ; LedBlink:inst2|counter[1] ; FPGA_CLK ; FPGA_CLK ; 40.000 ; -0.076 ; 6.090 ; -; 33.835 ; LedBlink:inst2|counter[15] ; LedBlink:inst2|counter[2] ; FPGA_CLK ; FPGA_CLK ; 40.000 ; -0.076 ; 6.090 ; -; 33.835 ; LedBlink:inst2|counter[15] ; LedBlink:inst2|counter[3] ; FPGA_CLK ; FPGA_CLK ; 40.000 ; -0.076 ; 6.090 ; -; 33.835 ; LedBlink:inst2|counter[15] ; LedBlink:inst2|counter[4] ; FPGA_CLK ; FPGA_CLK ; 40.000 ; -0.076 ; 6.090 ; -; 33.835 ; LedBlink:inst2|counter[15] ; LedBlink:inst2|counter[5] ; FPGA_CLK ; FPGA_CLK ; 40.000 ; -0.076 ; 6.090 ; -; 33.835 ; LedBlink:inst2|counter[15] ; LedBlink:inst2|counter[6] ; FPGA_CLK ; FPGA_CLK ; 40.000 ; -0.076 ; 6.090 ; -; 33.835 ; LedBlink:inst2|counter[15] ; LedBlink:inst2|counter[7] ; FPGA_CLK ; FPGA_CLK ; 40.000 ; -0.076 ; 6.090 ; -; 33.835 ; LedBlink:inst2|counter[15] ; LedBlink:inst2|counter[8] ; FPGA_CLK ; FPGA_CLK ; 40.000 ; -0.076 ; 6.090 ; -; 33.835 ; LedBlink:inst2|counter[15] ; LedBlink:inst2|counter[9] ; FPGA_CLK ; FPGA_CLK ; 40.000 ; -0.076 ; 6.090 ; -; 33.835 ; LedBlink:inst2|counter[15] ; LedBlink:inst2|counter[10] ; FPGA_CLK ; FPGA_CLK ; 40.000 ; -0.076 ; 6.090 ; -; 33.835 ; LedBlink:inst2|counter[15] ; LedBlink:inst2|counter[11] ; FPGA_CLK ; FPGA_CLK ; 40.000 ; -0.076 ; 6.090 ; -; 33.931 ; RAM:inst3|altsyncram:memory_rtl_0|altsyncram_8bi1:auto_generated|ram_block1a0~portb_address_reg0 ; RAM:inst3|data0[5]~reg0 ; FPGA_CLK ; FPGA_CLK ; 40.000 ; -0.477 ; 5.593 ; -; 33.982 ; LedBlink:inst2|counter[6] ; LedBlink:inst2|counter[0] ; FPGA_CLK ; FPGA_CLK ; 40.000 ; -0.079 ; 5.940 ; -; 33.982 ; LedBlink:inst2|counter[6] ; LedBlink:inst2|counter[1] ; FPGA_CLK ; FPGA_CLK ; 40.000 ; -0.079 ; 5.940 ; -; 33.982 ; LedBlink:inst2|counter[6] ; LedBlink:inst2|counter[2] ; FPGA_CLK ; FPGA_CLK ; 40.000 ; -0.079 ; 5.940 ; -; 33.982 ; LedBlink:inst2|counter[6] ; LedBlink:inst2|counter[3] ; FPGA_CLK ; FPGA_CLK ; 40.000 ; -0.079 ; 5.940 ; -; 33.982 ; LedBlink:inst2|counter[6] ; LedBlink:inst2|counter[4] ; FPGA_CLK ; FPGA_CLK ; 40.000 ; -0.079 ; 5.940 ; -; 33.982 ; LedBlink:inst2|counter[6] ; LedBlink:inst2|counter[5] ; FPGA_CLK ; FPGA_CLK ; 40.000 ; -0.079 ; 5.940 ; -; 33.982 ; LedBlink:inst2|counter[6] ; LedBlink:inst2|counter[6] ; FPGA_CLK ; FPGA_CLK ; 40.000 ; -0.079 ; 5.940 ; -; 33.982 ; LedBlink:inst2|counter[6] ; LedBlink:inst2|counter[7] ; FPGA_CLK ; FPGA_CLK ; 40.000 ; -0.079 ; 5.940 ; -; 33.982 ; LedBlink:inst2|counter[6] ; LedBlink:inst2|counter[8] ; FPGA_CLK ; FPGA_CLK ; 40.000 ; -0.079 ; 5.940 ; -; 33.982 ; LedBlink:inst2|counter[6] ; LedBlink:inst2|counter[9] ; FPGA_CLK ; FPGA_CLK ; 40.000 ; -0.079 ; 5.940 ; -; 33.982 ; LedBlink:inst2|counter[6] ; LedBlink:inst2|counter[10] ; FPGA_CLK ; FPGA_CLK ; 40.000 ; -0.079 ; 5.940 ; -; 33.982 ; LedBlink:inst2|counter[6] ; LedBlink:inst2|counter[11] ; FPGA_CLK ; FPGA_CLK ; 40.000 ; -0.079 ; 5.940 ; -; 34.070 ; RAM:inst3|memory_rtl_0_bypass[6] ; RAM:inst3|data0[4]~reg0 ; FPGA_CLK ; FPGA_CLK ; 40.000 ; -0.072 ; 5.859 ; -; 34.080 ; RAM:inst3|memory_rtl_0_bypass[6] ; RAM:inst3|data0[7]~reg0 ; FPGA_CLK ; FPGA_CLK ; 40.000 ; -0.072 ; 5.849 ; -; 34.081 ; RAM:inst3|memory_rtl_0_bypass[6] ; RAM:inst3|data0[1]~reg0 ; FPGA_CLK ; FPGA_CLK ; 40.000 ; -0.072 ; 5.848 ; -; 34.081 ; RAM:inst3|memory_rtl_0_bypass[6] ; RAM:inst3|data0[2]~reg0 ; FPGA_CLK ; FPGA_CLK ; 40.000 ; -0.072 ; 5.848 ; -; 34.105 ; RAM:inst3|memory_rtl_0_bypass[6] ; RAM:inst3|data0[6]~reg0 ; FPGA_CLK ; FPGA_CLK ; 40.000 ; -0.072 ; 5.824 ; -; 34.107 ; RAM:inst3|memory_rtl_0_bypass[6] ; RAM:inst3|data0[0]~reg0 ; FPGA_CLK ; FPGA_CLK ; 40.000 ; -0.072 ; 5.822 ; -; 34.121 ; LedBlink:inst2|counter[13] ; LedBlink:inst2|counter[15] ; FPGA_CLK ; FPGA_CLK ; 40.000 ; -0.079 ; 5.801 ; -; 34.121 ; LedBlink:inst2|counter[13] ; LedBlink:inst2|counter[12] ; FPGA_CLK ; FPGA_CLK ; 40.000 ; -0.079 ; 5.801 ; -; 34.121 ; LedBlink:inst2|counter[13] ; LedBlink:inst2|counter[13] ; FPGA_CLK ; FPGA_CLK ; 40.000 ; -0.079 ; 5.801 ; -; 34.121 ; LedBlink:inst2|counter[13] ; LedBlink:inst2|counter[14] ; FPGA_CLK ; FPGA_CLK ; 40.000 ; -0.079 ; 5.801 ; -; 34.121 ; LedBlink:inst2|counter[13] ; LedBlink:inst2|counter[16] ; FPGA_CLK ; FPGA_CLK ; 40.000 ; -0.079 ; 5.801 ; -; 34.121 ; LedBlink:inst2|counter[13] ; LedBlink:inst2|counter[17] ; FPGA_CLK ; FPGA_CLK ; 40.000 ; -0.079 ; 5.801 ; -; 34.121 ; LedBlink:inst2|counter[13] ; LedBlink:inst2|counter[22] ; FPGA_CLK ; FPGA_CLK ; 40.000 ; -0.079 ; 5.801 ; -; 34.121 ; LedBlink:inst2|counter[13] ; LedBlink:inst2|counter[18] ; FPGA_CLK ; FPGA_CLK ; 40.000 ; -0.079 ; 5.801 ; -; 34.121 ; LedBlink:inst2|counter[13] ; LedBlink:inst2|counter[19] ; FPGA_CLK ; FPGA_CLK ; 40.000 ; -0.079 ; 5.801 ; -; 34.121 ; LedBlink:inst2|counter[13] ; LedBlink:inst2|counter[20] ; FPGA_CLK ; FPGA_CLK ; 40.000 ; -0.079 ; 5.801 ; -; 34.121 ; LedBlink:inst2|counter[13] ; LedBlink:inst2|counter[21] ; FPGA_CLK ; FPGA_CLK ; 40.000 ; -0.079 ; 5.801 ; -; 34.121 ; LedBlink:inst2|counter[13] ; LedBlink:inst2|counter[23] ; FPGA_CLK ; FPGA_CLK ; 40.000 ; -0.079 ; 5.801 ; -; 34.128 ; RAM:inst3|memory_rtl_0_bypass[6] ; RAM:inst3|data0[3]~reg0 ; FPGA_CLK ; FPGA_CLK ; 40.000 ; -0.072 ; 5.801 ; -; 34.153 ; LedBlink:inst2|counter[16] ; LedBlink:inst2|counter[15] ; FPGA_CLK ; FPGA_CLK ; 40.000 ; -0.079 ; 5.769 ; -; 34.153 ; LedBlink:inst2|counter[16] ; LedBlink:inst2|counter[12] ; FPGA_CLK ; FPGA_CLK ; 40.000 ; -0.079 ; 5.769 ; -; 34.153 ; LedBlink:inst2|counter[16] ; LedBlink:inst2|counter[13] ; FPGA_CLK ; FPGA_CLK ; 40.000 ; -0.079 ; 5.769 ; -; 34.153 ; LedBlink:inst2|counter[16] ; LedBlink:inst2|counter[14] ; FPGA_CLK ; FPGA_CLK ; 40.000 ; -0.079 ; 5.769 ; -; 34.153 ; LedBlink:inst2|counter[16] ; LedBlink:inst2|counter[16] ; FPGA_CLK ; FPGA_CLK ; 40.000 ; -0.079 ; 5.769 ; -; 34.153 ; LedBlink:inst2|counter[16] ; LedBlink:inst2|counter[17] ; FPGA_CLK ; FPGA_CLK ; 40.000 ; -0.079 ; 5.769 ; -; 34.153 ; LedBlink:inst2|counter[16] ; LedBlink:inst2|counter[22] ; FPGA_CLK ; FPGA_CLK ; 40.000 ; -0.079 ; 5.769 ; -; 34.153 ; LedBlink:inst2|counter[16] ; LedBlink:inst2|counter[18] ; FPGA_CLK ; FPGA_CLK ; 40.000 ; -0.079 ; 5.769 ; -; 34.153 ; LedBlink:inst2|counter[16] ; LedBlink:inst2|counter[19] ; FPGA_CLK ; FPGA_CLK ; 40.000 ; -0.079 ; 5.769 ; -; 34.153 ; LedBlink:inst2|counter[16] ; LedBlink:inst2|counter[20] ; FPGA_CLK ; FPGA_CLK ; 40.000 ; -0.079 ; 5.769 ; -; 34.153 ; LedBlink:inst2|counter[16] ; LedBlink:inst2|counter[21] ; FPGA_CLK ; FPGA_CLK ; 40.000 ; -0.079 ; 5.769 ; -; 34.153 ; LedBlink:inst2|counter[16] ; LedBlink:inst2|counter[23] ; FPGA_CLK ; FPGA_CLK ; 40.000 ; -0.079 ; 5.769 ; -; 34.303 ; RAM:inst3|memory_rtl_0_bypass[6] ; RAM:inst3|data0[5]~reg0 ; FPGA_CLK ; FPGA_CLK ; 40.000 ; -0.072 ; 5.626 ; -; 34.319 ; LedBlink:inst2|counter[15] ; LedBlink:inst2|counter[15] ; FPGA_CLK ; FPGA_CLK ; 40.000 ; -0.079 ; 5.603 ; -; 34.319 ; LedBlink:inst2|counter[15] ; LedBlink:inst2|counter[12] ; FPGA_CLK ; FPGA_CLK ; 40.000 ; -0.079 ; 5.603 ; -; 34.319 ; LedBlink:inst2|counter[15] ; LedBlink:inst2|counter[13] ; FPGA_CLK ; FPGA_CLK ; 40.000 ; -0.079 ; 5.603 ; -; 34.319 ; LedBlink:inst2|counter[15] ; LedBlink:inst2|counter[14] ; FPGA_CLK ; FPGA_CLK ; 40.000 ; -0.079 ; 5.603 ; -; 34.319 ; LedBlink:inst2|counter[15] ; LedBlink:inst2|counter[16] ; FPGA_CLK ; FPGA_CLK ; 40.000 ; -0.079 ; 5.603 ; -; 34.319 ; LedBlink:inst2|counter[15] ; LedBlink:inst2|counter[17] ; FPGA_CLK ; FPGA_CLK ; 40.000 ; -0.079 ; 5.603 ; -; 34.319 ; LedBlink:inst2|counter[15] ; LedBlink:inst2|counter[22] ; FPGA_CLK ; FPGA_CLK ; 40.000 ; -0.079 ; 5.603 ; -; 34.319 ; LedBlink:inst2|counter[15] ; LedBlink:inst2|counter[18] ; FPGA_CLK ; FPGA_CLK ; 40.000 ; -0.079 ; 5.603 ; -; 34.319 ; LedBlink:inst2|counter[15] ; LedBlink:inst2|counter[19] ; FPGA_CLK ; FPGA_CLK ; 40.000 ; -0.079 ; 5.603 ; -; 34.319 ; LedBlink:inst2|counter[15] ; LedBlink:inst2|counter[20] ; FPGA_CLK ; FPGA_CLK ; 40.000 ; -0.079 ; 5.603 ; -; 34.319 ; LedBlink:inst2|counter[15] ; LedBlink:inst2|counter[21] ; FPGA_CLK ; FPGA_CLK ; 40.000 ; -0.079 ; 5.603 ; -; 34.319 ; LedBlink:inst2|counter[15] ; LedBlink:inst2|counter[23] ; FPGA_CLK ; FPGA_CLK ; 40.000 ; -0.079 ; 5.603 ; -; 34.356 ; RAM:inst3|memory_rtl_0_bypass[2] ; RAM:inst3|data0[4]~reg0 ; FPGA_CLK ; FPGA_CLK ; 40.000 ; -0.072 ; 5.573 ; -; 34.366 ; RAM:inst3|memory_rtl_0_bypass[2] ; RAM:inst3|data0[7]~reg0 ; FPGA_CLK ; FPGA_CLK ; 40.000 ; -0.072 ; 5.563 ; -; 34.367 ; RAM:inst3|memory_rtl_0_bypass[2] ; RAM:inst3|data0[1]~reg0 ; FPGA_CLK ; FPGA_CLK ; 40.000 ; -0.072 ; 5.562 ; -; 34.367 ; RAM:inst3|memory_rtl_0_bypass[2] ; RAM:inst3|data0[2]~reg0 ; FPGA_CLK ; FPGA_CLK ; 40.000 ; -0.072 ; 5.562 ; -; 34.391 ; RAM:inst3|memory_rtl_0_bypass[2] ; RAM:inst3|data0[6]~reg0 ; FPGA_CLK ; FPGA_CLK ; 40.000 ; -0.072 ; 5.538 ; -; 34.393 ; RAM:inst3|memory_rtl_0_bypass[2] ; RAM:inst3|data0[0]~reg0 ; FPGA_CLK ; FPGA_CLK ; 40.000 ; -0.072 ; 5.536 ; -; 34.414 ; RAM:inst3|memory_rtl_0_bypass[2] ; RAM:inst3|data0[3]~reg0 ; FPGA_CLK ; FPGA_CLK ; 40.000 ; -0.072 ; 5.515 ; -+--------+--------------------------------------------------------------------------------------------------+----------------------------+--------------+-------------+--------------+------------+------------+ ++--------------------------------------------------------------------------------------------------------------------------------------+ +; Slow 1200mV 85C Model Setup: 'FPGA_CLK' ; ++--------+---------------------------+---------------------------+--------------+-------------+--------------+------------+------------+ +; Slack ; From Node ; To Node ; Launch Clock ; Latch Clock ; Relationship ; Clock Skew ; Data Delay ; ++--------+---------------------------+---------------------------+--------------+-------------+--------------+------------+------------+ +; 20.350 ; RAM:inst1|addr0[7] ; RAM:inst1|data0[1]~reg0 ; FPGA_CLK ; FPGA_CLK ; 40.000 ; -0.114 ; 19.537 ; +; 21.154 ; RAM:inst1|addr0[2] ; RAM:inst1|data0[7]~reg0 ; FPGA_CLK ; FPGA_CLK ; 40.000 ; -0.107 ; 18.740 ; +; 21.301 ; RAM:inst1|addr0[6] ; RAM:inst1|data0[1]~reg0 ; FPGA_CLK ; FPGA_CLK ; 40.000 ; -0.114 ; 18.586 ; +; 21.554 ; RAM:inst1|addr0[3] ; RAM:inst1|data0[7]~reg0 ; FPGA_CLK ; FPGA_CLK ; 40.000 ; -0.107 ; 18.340 ; +; 21.927 ; RAM:inst1|addr0[0] ; RAM:inst1|data0[3]~reg0 ; FPGA_CLK ; FPGA_CLK ; 40.000 ; -0.078 ; 17.996 ; +; 21.955 ; RAM:inst1|part0[1] ; RAM:inst1|data0[4]~reg0 ; FPGA_CLK ; FPGA_CLK ; 40.000 ; -0.103 ; 17.943 ; +; 22.086 ; RAM:inst1|addr0[7] ; RAM:inst1|data0[0]~reg0 ; FPGA_CLK ; FPGA_CLK ; 40.000 ; -0.083 ; 17.832 ; +; 22.106 ; RAM:inst1|memory[167][17] ; RAM:inst1|data0[1]~reg0 ; FPGA_CLK ; FPGA_CLK ; 40.000 ; -0.120 ; 17.775 ; +; 22.138 ; RAM:inst1|addr0[3] ; RAM:inst1|data0[0]~reg0 ; FPGA_CLK ; FPGA_CLK ; 40.000 ; -0.084 ; 17.779 ; +; 22.320 ; RAM:inst1|addr0[5] ; RAM:inst1|data0[7]~reg0 ; FPGA_CLK ; FPGA_CLK ; 40.000 ; -0.080 ; 17.601 ; +; 22.346 ; RAM:inst1|addr0[6] ; RAM:inst1|data0[0]~reg0 ; FPGA_CLK ; FPGA_CLK ; 40.000 ; -0.083 ; 17.572 ; +; 22.363 ; RAM:inst1|addr0[1] ; RAM:inst1|data0[7]~reg0 ; FPGA_CLK ; FPGA_CLK ; 40.000 ; -0.109 ; 17.529 ; +; 22.474 ; RAM:inst1|addr0[7] ; RAM:inst1|data0[4]~reg0 ; FPGA_CLK ; FPGA_CLK ; 40.000 ; -0.103 ; 17.424 ; +; 22.486 ; RAM:inst1|memory[149][8] ; RAM:inst1|data0[0]~reg0 ; FPGA_CLK ; FPGA_CLK ; 40.000 ; -0.081 ; 17.434 ; +; 22.499 ; RAM:inst1|addr0[2] ; RAM:inst1|data0[0]~reg0 ; FPGA_CLK ; FPGA_CLK ; 40.000 ; -0.084 ; 17.418 ; +; 22.509 ; RAM:inst1|part0[0] ; RAM:inst1|data0[4]~reg0 ; FPGA_CLK ; FPGA_CLK ; 40.000 ; -0.103 ; 17.389 ; +; 22.527 ; RAM:inst1|addr0[0] ; RAM:inst1|data0[0]~reg0 ; FPGA_CLK ; FPGA_CLK ; 40.000 ; -0.086 ; 17.388 ; +; 22.608 ; RAM:inst1|addr0[1] ; RAM:inst1|data0[3]~reg0 ; FPGA_CLK ; FPGA_CLK ; 40.000 ; -0.078 ; 17.315 ; +; 22.626 ; RAM:inst1|addr0[7] ; RAM:inst1|data0[2]~reg0 ; FPGA_CLK ; FPGA_CLK ; 40.000 ; -0.110 ; 17.265 ; +; 22.703 ; RAM:inst1|addr0[7] ; RAM:inst1|data0[5]~reg0 ; FPGA_CLK ; FPGA_CLK ; 40.000 ; -0.071 ; 17.227 ; +; 22.717 ; RAM:inst1|memory[133][17] ; RAM:inst1|data0[1]~reg0 ; FPGA_CLK ; FPGA_CLK ; 40.000 ; -0.084 ; 17.200 ; +; 22.735 ; RAM:inst1|addr0[1] ; RAM:inst1|data0[1]~reg0 ; FPGA_CLK ; FPGA_CLK ; 40.000 ; -0.117 ; 17.149 ; +; 22.755 ; RAM:inst1|addr0[0] ; RAM:inst1|data0[7]~reg0 ; FPGA_CLK ; FPGA_CLK ; 40.000 ; -0.109 ; 17.137 ; +; 22.780 ; RAM:inst1|memory[53][17] ; RAM:inst1|data0[1]~reg0 ; FPGA_CLK ; FPGA_CLK ; 40.000 ; -0.089 ; 17.132 ; +; 22.833 ; RAM:inst1|addr0[6] ; RAM:inst1|data0[4]~reg0 ; FPGA_CLK ; FPGA_CLK ; 40.000 ; -0.103 ; 17.065 ; +; 22.887 ; RAM:inst1|addr0[5] ; RAM:inst1|data0[1]~reg0 ; FPGA_CLK ; FPGA_CLK ; 40.000 ; -0.088 ; 17.026 ; +; 22.915 ; RAM:inst1|addr0[1] ; RAM:inst1|data0[0]~reg0 ; FPGA_CLK ; FPGA_CLK ; 40.000 ; -0.086 ; 17.000 ; +; 22.921 ; RAM:inst1|addr0[5] ; RAM:inst1|data0[3]~reg0 ; FPGA_CLK ; FPGA_CLK ; 40.000 ; -0.087 ; 16.993 ; +; 22.932 ; RAM:inst1|addr0[0] ; RAM:inst1|data0[1]~reg0 ; FPGA_CLK ; FPGA_CLK ; 40.000 ; -0.117 ; 16.952 ; +; 22.951 ; RAM:inst1|addr0[4] ; RAM:inst1|data0[7]~reg0 ; FPGA_CLK ; FPGA_CLK ; 40.000 ; -0.080 ; 16.970 ; +; 23.122 ; RAM:inst1|addr0[2] ; RAM:inst1|data0[4]~reg0 ; FPGA_CLK ; FPGA_CLK ; 40.000 ; -0.104 ; 16.775 ; +; 23.129 ; RAM:inst1|addr0[6] ; RAM:inst1|data0[2]~reg0 ; FPGA_CLK ; FPGA_CLK ; 40.000 ; -0.110 ; 16.762 ; +; 23.145 ; RAM:inst1|addr0[4] ; RAM:inst1|data0[3]~reg0 ; FPGA_CLK ; FPGA_CLK ; 40.000 ; -0.087 ; 16.769 ; +; 23.169 ; RAM:inst1|addr0[3] ; RAM:inst1|data0[4]~reg0 ; FPGA_CLK ; FPGA_CLK ; 40.000 ; -0.104 ; 16.728 ; +; 23.212 ; RAM:inst1|addr0[4] ; RAM:inst1|data0[1]~reg0 ; FPGA_CLK ; FPGA_CLK ; 40.000 ; -0.088 ; 16.701 ; +; 23.293 ; RAM:inst1|memory[84][17] ; RAM:inst1|data0[1]~reg0 ; FPGA_CLK ; FPGA_CLK ; 40.000 ; -0.112 ; 16.596 ; +; 23.322 ; RAM:inst1|memory[173][7] ; RAM:inst1|data0[7]~reg0 ; FPGA_CLK ; FPGA_CLK ; 40.000 ; -0.118 ; 16.561 ; +; 23.428 ; RAM:inst1|addr0[7] ; RAM:inst1|data0[6]~reg0 ; FPGA_CLK ; FPGA_CLK ; 40.000 ; -0.110 ; 16.463 ; +; 23.519 ; RAM:inst1|addr0[4] ; RAM:inst1|data0[2]~reg0 ; FPGA_CLK ; FPGA_CLK ; 40.000 ; -0.103 ; 16.379 ; +; 23.545 ; RAM:inst1|memory[213][15] ; RAM:inst1|data0[7]~reg0 ; FPGA_CLK ; FPGA_CLK ; 40.000 ; -0.102 ; 16.354 ; +; 23.570 ; RAM:inst1|memory[120][20] ; RAM:inst1|data0[4]~reg0 ; FPGA_CLK ; FPGA_CLK ; 40.000 ; -0.104 ; 16.327 ; +; 23.583 ; RAM:inst1|memory[169][7] ; RAM:inst1|data0[7]~reg0 ; FPGA_CLK ; FPGA_CLK ; 40.000 ; -0.085 ; 16.333 ; +; 23.591 ; RAM:inst1|part0[0] ; RAM:inst1|data0[7]~reg0 ; FPGA_CLK ; FPGA_CLK ; 40.000 ; -0.106 ; 16.304 ; +; 23.621 ; RAM:inst1|addr0[6] ; RAM:inst1|data0[6]~reg0 ; FPGA_CLK ; FPGA_CLK ; 40.000 ; -0.110 ; 16.270 ; +; 23.654 ; RAM:inst1|memory[118][17] ; RAM:inst1|data0[1]~reg0 ; FPGA_CLK ; FPGA_CLK ; 40.000 ; -0.108 ; 16.239 ; +; 23.756 ; RAM:inst1|memory[217][8] ; RAM:inst1|data0[0]~reg0 ; FPGA_CLK ; FPGA_CLK ; 40.000 ; -0.107 ; 16.138 ; +; 23.758 ; RAM:inst1|memory[34][3] ; RAM:inst1|data0[3]~reg0 ; FPGA_CLK ; FPGA_CLK ; 40.000 ; -0.075 ; 16.168 ; +; 23.841 ; RAM:inst1|memory[122][3] ; RAM:inst1|data0[3]~reg0 ; FPGA_CLK ; FPGA_CLK ; 40.000 ; -0.098 ; 16.062 ; +; 23.892 ; RAM:inst1|addr0[3] ; RAM:inst1|data0[3]~reg0 ; FPGA_CLK ; FPGA_CLK ; 40.000 ; -0.076 ; 16.033 ; +; 23.917 ; RAM:inst1|part0[1] ; RAM:inst1|data0[1]~reg0 ; FPGA_CLK ; FPGA_CLK ; 40.000 ; -0.114 ; 15.970 ; +; 23.921 ; RAM:inst1|addr0[1] ; RAM:inst1|memory[232][12] ; FPGA_CLK ; FPGA_CLK ; 40.000 ; -0.084 ; 15.996 ; +; 23.929 ; RAM:inst1|memory[120][0] ; RAM:inst1|data0[0]~reg0 ; FPGA_CLK ; FPGA_CLK ; 40.000 ; -0.085 ; 15.987 ; +; 23.957 ; RAM:inst1|part0[0] ; RAM:inst1|data0[1]~reg0 ; FPGA_CLK ; FPGA_CLK ; 40.000 ; -0.114 ; 15.930 ; +; 23.980 ; RAM:inst1|part0[1] ; RAM:inst1|data0[5]~reg0 ; FPGA_CLK ; FPGA_CLK ; 40.000 ; -0.071 ; 15.950 ; +; 24.048 ; RAM:inst1|memory[218][15] ; RAM:inst1|data0[7]~reg0 ; FPGA_CLK ; FPGA_CLK ; 40.000 ; -0.111 ; 15.842 ; +; 24.053 ; RAM:inst1|addr0[3] ; RAM:inst1|memory[250][14] ; FPGA_CLK ; FPGA_CLK ; 40.000 ; -0.108 ; 15.840 ; +; 24.054 ; RAM:inst1|addr0[3] ; RAM:inst1|memory[250][15] ; FPGA_CLK ; FPGA_CLK ; 40.000 ; -0.101 ; 15.846 ; +; 24.054 ; RAM:inst1|addr0[3] ; RAM:inst1|memory[250][13] ; FPGA_CLK ; FPGA_CLK ; 40.000 ; -0.101 ; 15.846 ; +; 24.054 ; RAM:inst1|addr0[3] ; RAM:inst1|memory[250][12] ; FPGA_CLK ; FPGA_CLK ; 40.000 ; -0.101 ; 15.846 ; +; 24.054 ; RAM:inst1|addr0[3] ; RAM:inst1|memory[250][11] ; FPGA_CLK ; FPGA_CLK ; 40.000 ; -0.101 ; 15.846 ; +; 24.054 ; RAM:inst1|addr0[3] ; RAM:inst1|memory[250][10] ; FPGA_CLK ; FPGA_CLK ; 40.000 ; -0.101 ; 15.846 ; +; 24.054 ; RAM:inst1|addr0[3] ; RAM:inst1|memory[250][9] ; FPGA_CLK ; FPGA_CLK ; 40.000 ; -0.101 ; 15.846 ; +; 24.054 ; RAM:inst1|addr0[3] ; RAM:inst1|memory[250][8] ; FPGA_CLK ; FPGA_CLK ; 40.000 ; -0.101 ; 15.846 ; +; 24.071 ; RAM:inst1|addr0[2] ; RAM:inst1|data0[6]~reg0 ; FPGA_CLK ; FPGA_CLK ; 40.000 ; -0.111 ; 15.819 ; +; 24.092 ; RAM:inst1|addr0[3] ; RAM:inst1|data0[6]~reg0 ; FPGA_CLK ; FPGA_CLK ; 40.000 ; -0.111 ; 15.798 ; +; 24.125 ; RAM:inst1|memory[189][23] ; RAM:inst1|data0[7]~reg0 ; FPGA_CLK ; FPGA_CLK ; 40.000 ; -0.078 ; 15.798 ; +; 24.139 ; RAM:inst1|part0[1] ; RAM:inst1|data0[3]~reg0 ; FPGA_CLK ; FPGA_CLK ; 40.000 ; -0.075 ; 15.787 ; +; 24.159 ; RAM:inst1|addr0[3] ; RAM:inst1|memory[58][15] ; FPGA_CLK ; FPGA_CLK ; 40.000 ; -0.113 ; 15.729 ; +; 24.159 ; RAM:inst1|addr0[3] ; RAM:inst1|memory[58][13] ; FPGA_CLK ; FPGA_CLK ; 40.000 ; -0.113 ; 15.729 ; +; 24.159 ; RAM:inst1|addr0[3] ; RAM:inst1|memory[58][12] ; FPGA_CLK ; FPGA_CLK ; 40.000 ; -0.113 ; 15.729 ; +; 24.159 ; RAM:inst1|addr0[3] ; RAM:inst1|memory[58][11] ; FPGA_CLK ; FPGA_CLK ; 40.000 ; -0.113 ; 15.729 ; +; 24.159 ; RAM:inst1|addr0[3] ; RAM:inst1|memory[58][10] ; FPGA_CLK ; FPGA_CLK ; 40.000 ; -0.113 ; 15.729 ; +; 24.159 ; RAM:inst1|addr0[3] ; RAM:inst1|memory[58][8] ; FPGA_CLK ; FPGA_CLK ; 40.000 ; -0.113 ; 15.729 ; +; 24.171 ; RAM:inst1|addr0[0] ; RAM:inst1|data0[4]~reg0 ; FPGA_CLK ; FPGA_CLK ; 40.000 ; -0.106 ; 15.724 ; +; 24.184 ; RAM:inst1|addr0[2] ; RAM:inst1|data0[2]~reg0 ; FPGA_CLK ; FPGA_CLK ; 40.000 ; -0.111 ; 15.706 ; +; 24.185 ; RAM:inst1|memory[98][12] ; RAM:inst1|data0[4]~reg0 ; FPGA_CLK ; FPGA_CLK ; 40.000 ; -0.079 ; 15.737 ; +; 24.194 ; RAM:inst1|memory[70][17] ; RAM:inst1|data0[1]~reg0 ; FPGA_CLK ; FPGA_CLK ; 40.000 ; -0.108 ; 15.699 ; +; 24.206 ; RAM:inst1|memory[39][17] ; RAM:inst1|data0[1]~reg0 ; FPGA_CLK ; FPGA_CLK ; 40.000 ; -0.103 ; 15.692 ; +; 24.226 ; RAM:inst1|addr0[6] ; RAM:inst1|data0[5]~reg0 ; FPGA_CLK ; FPGA_CLK ; 40.000 ; -0.071 ; 15.704 ; +; 24.239 ; RAM:inst1|memory[20][12] ; RAM:inst1|data0[4]~reg0 ; FPGA_CLK ; FPGA_CLK ; 40.000 ; -0.079 ; 15.683 ; +; 24.243 ; RAM:inst1|memory[215][15] ; RAM:inst1|data0[7]~reg0 ; FPGA_CLK ; FPGA_CLK ; 40.000 ; -0.107 ; 15.651 ; +; 24.285 ; RAM:inst1|addr0[0] ; RAM:inst1|memory[232][12] ; FPGA_CLK ; FPGA_CLK ; 40.000 ; -0.084 ; 15.632 ; +; 24.290 ; RAM:inst1|addr0[4] ; RAM:inst1|data0[6]~reg0 ; FPGA_CLK ; FPGA_CLK ; 40.000 ; -0.103 ; 15.608 ; +; 24.306 ; RAM:inst1|memory[55][10] ; RAM:inst1|data0[2]~reg0 ; FPGA_CLK ; FPGA_CLK ; 40.000 ; -0.104 ; 15.591 ; +; 24.319 ; RAM:inst1|memory[96][2] ; RAM:inst1|data0[2]~reg0 ; FPGA_CLK ; FPGA_CLK ; 40.000 ; -0.112 ; 15.570 ; +; 24.353 ; RAM:inst1|addr0[3] ; RAM:inst1|data0[2]~reg0 ; FPGA_CLK ; FPGA_CLK ; 40.000 ; -0.111 ; 15.537 ; +; 24.373 ; RAM:inst1|addr0[3] ; RAM:inst1|memory[58][14] ; FPGA_CLK ; FPGA_CLK ; 40.000 ; -0.099 ; 15.529 ; +; 24.373 ; RAM:inst1|addr0[3] ; RAM:inst1|memory[58][9] ; FPGA_CLK ; FPGA_CLK ; 40.000 ; -0.099 ; 15.529 ; +; 24.456 ; RAM:inst1|memory[30][16] ; RAM:inst1|data0[0]~reg0 ; FPGA_CLK ; FPGA_CLK ; 40.000 ; -0.106 ; 15.439 ; +; 24.500 ; RAM:inst1|memory[118][12] ; RAM:inst1|data0[4]~reg0 ; FPGA_CLK ; FPGA_CLK ; 40.000 ; -0.078 ; 15.423 ; +; 24.509 ; RAM:inst1|addr0[3] ; RAM:inst1|memory[202][15] ; FPGA_CLK ; FPGA_CLK ; 40.000 ; -0.094 ; 15.398 ; +; 24.509 ; RAM:inst1|addr0[3] ; RAM:inst1|memory[202][14] ; FPGA_CLK ; FPGA_CLK ; 40.000 ; -0.094 ; 15.398 ; +; 24.509 ; RAM:inst1|addr0[3] ; RAM:inst1|memory[202][13] ; FPGA_CLK ; FPGA_CLK ; 40.000 ; -0.094 ; 15.398 ; +; 24.509 ; RAM:inst1|addr0[3] ; RAM:inst1|memory[202][12] ; FPGA_CLK ; FPGA_CLK ; 40.000 ; -0.094 ; 15.398 ; +; 24.509 ; RAM:inst1|addr0[3] ; RAM:inst1|memory[202][11] ; FPGA_CLK ; FPGA_CLK ; 40.000 ; -0.094 ; 15.398 ; +; 24.509 ; RAM:inst1|addr0[3] ; RAM:inst1|memory[202][10] ; FPGA_CLK ; FPGA_CLK ; 40.000 ; -0.094 ; 15.398 ; +; 24.509 ; RAM:inst1|addr0[3] ; RAM:inst1|memory[202][9] ; FPGA_CLK ; FPGA_CLK ; 40.000 ; -0.094 ; 15.398 ; +; 24.509 ; RAM:inst1|addr0[3] ; RAM:inst1|memory[202][8] ; FPGA_CLK ; FPGA_CLK ; 40.000 ; -0.094 ; 15.398 ; +; 24.512 ; RAM:inst1|memory[183][16] ; RAM:inst1|data0[0]~reg0 ; FPGA_CLK ; FPGA_CLK ; 40.000 ; -0.087 ; 15.402 ; +; 24.562 ; RAM:inst1|addr0[2] ; RAM:inst1|data0[3]~reg0 ; FPGA_CLK ; FPGA_CLK ; 40.000 ; -0.076 ; 15.363 ; ++--------+---------------------------+---------------------------+--------------+-------------+--------------+------------+------------+ -+--------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------+ -; Slow 1200mV 85C Model Hold: 'FPGA_CLK' ; -+-------+-----------------------------------+--------------------------------------------------------------------------------------------------+--------------+-------------+--------------+------------+------------+ -; Slack ; From Node ; To Node ; Launch Clock ; Latch Clock ; Relationship ; Clock Skew ; Data Delay ; -+-------+-----------------------------------+--------------------------------------------------------------------------------------------------+--------------+-------------+--------------+------------+------------+ -; 0.454 ; RAM:inst3|stateMM0.Waiting ; RAM:inst3|stateMM0.Waiting ; FPGA_CLK ; FPGA_CLK ; 0.000 ; 0.080 ; 0.746 ; -; 0.455 ; LedBlink:inst2|ledBuf ; LedBlink:inst2|ledBuf ; FPGA_CLK ; FPGA_CLK ; 0.000 ; 0.079 ; 0.746 ; -; 0.502 ; RAM:inst3|memory_rtl_0_bypass[18] ; RAM:inst3|data0[1]~reg0 ; FPGA_CLK ; FPGA_CLK ; 0.000 ; 0.080 ; 0.794 ; -; 0.502 ; RAM:inst3|memory_rtl_0_bypass[19] ; RAM:inst3|data0[2]~reg0 ; FPGA_CLK ; FPGA_CLK ; 0.000 ; 0.080 ; 0.794 ; -; 0.502 ; RAM:inst3|memory_rtl_0_bypass[22] ; RAM:inst3|data0[5]~reg0 ; FPGA_CLK ; FPGA_CLK ; 0.000 ; 0.080 ; 0.794 ; -; 0.504 ; RAM:inst3|memory_rtl_0_bypass[24] ; RAM:inst3|data0[7]~reg0 ; FPGA_CLK ; FPGA_CLK ; 0.000 ; 0.080 ; 0.796 ; -; 0.529 ; RAM:inst3|addr[6] ; RAM:inst3|memory_rtl_0_bypass[13] ; FPGA_CLK ; FPGA_CLK ; 0.000 ; 0.079 ; 0.820 ; -; 0.643 ; RAM:inst3|memory_rtl_0_bypass[20] ; RAM:inst3|data0[3]~reg0 ; FPGA_CLK ; FPGA_CLK ; 0.000 ; 0.080 ; 0.935 ; -; 0.644 ; RAM:inst3|memory_rtl_0_bypass[21] ; RAM:inst3|data0[4]~reg0 ; FPGA_CLK ; FPGA_CLK ; 0.000 ; 0.080 ; 0.936 ; -; 0.695 ; RAM:inst3|ce0Prev ; RAM:inst3|stateMM0.Reading ; FPGA_CLK ; FPGA_CLK ; 0.000 ; 0.080 ; 0.987 ; -; 0.695 ; RAM:inst3|ce0Prev ; RAM:inst3|stateMM0.Writing ; FPGA_CLK ; FPGA_CLK ; 0.000 ; 0.080 ; 0.987 ; -; 0.725 ; RAM:inst3|addr[7] ; RAM:inst3|memory_rtl_0_bypass[15] ; FPGA_CLK ; FPGA_CLK ; 0.000 ; 0.079 ; 1.016 ; -; 0.741 ; RAM:inst3|memory_rtl_0_bypass[23] ; RAM:inst3|data0[6]~reg0 ; FPGA_CLK ; FPGA_CLK ; 0.000 ; 0.080 ; 1.033 ; -; 0.742 ; RAM:inst3|memory_rtl_0_bypass[17] ; RAM:inst3|data0[0]~reg0 ; FPGA_CLK ; FPGA_CLK ; 0.000 ; 0.080 ; 1.034 ; -; 0.746 ; LedBlink:inst2|counter[1] ; LedBlink:inst2|counter[1] ; FPGA_CLK ; FPGA_CLK ; 0.000 ; 0.079 ; 1.037 ; -; 0.746 ; LedBlink:inst2|counter[7] ; LedBlink:inst2|counter[7] ; FPGA_CLK ; FPGA_CLK ; 0.000 ; 0.079 ; 1.037 ; -; 0.746 ; LedBlink:inst2|counter[9] ; LedBlink:inst2|counter[9] ; FPGA_CLK ; FPGA_CLK ; 0.000 ; 0.079 ; 1.037 ; -; 0.746 ; LedBlink:inst2|counter[11] ; LedBlink:inst2|counter[11] ; FPGA_CLK ; FPGA_CLK ; 0.000 ; 0.079 ; 1.037 ; -; 0.747 ; LedBlink:inst2|counter[17] ; LedBlink:inst2|counter[17] ; FPGA_CLK ; FPGA_CLK ; 0.000 ; 0.079 ; 1.038 ; -; 0.749 ; LedBlink:inst2|counter[2] ; LedBlink:inst2|counter[2] ; FPGA_CLK ; FPGA_CLK ; 0.000 ; 0.079 ; 1.040 ; -; 0.749 ; LedBlink:inst2|counter[3] ; LedBlink:inst2|counter[3] ; FPGA_CLK ; FPGA_CLK ; 0.000 ; 0.079 ; 1.040 ; -; 0.749 ; LedBlink:inst2|counter[5] ; LedBlink:inst2|counter[5] ; FPGA_CLK ; FPGA_CLK ; 0.000 ; 0.079 ; 1.040 ; -; 0.749 ; LedBlink:inst2|counter[10] ; LedBlink:inst2|counter[10] ; FPGA_CLK ; FPGA_CLK ; 0.000 ; 0.079 ; 1.040 ; -; 0.749 ; LedBlink:inst2|counter[14] ; LedBlink:inst2|counter[14] ; FPGA_CLK ; FPGA_CLK ; 0.000 ; 0.079 ; 1.040 ; -; 0.749 ; LedBlink:inst2|counter[23] ; LedBlink:inst2|counter[23] ; FPGA_CLK ; FPGA_CLK ; 0.000 ; 0.079 ; 1.040 ; -; 0.750 ; LedBlink:inst2|counter[8] ; LedBlink:inst2|counter[8] ; FPGA_CLK ; FPGA_CLK ; 0.000 ; 0.079 ; 1.041 ; -; 0.750 ; LedBlink:inst2|counter[18] ; LedBlink:inst2|counter[18] ; FPGA_CLK ; FPGA_CLK ; 0.000 ; 0.079 ; 1.041 ; -; 0.750 ; LedBlink:inst2|counter[19] ; LedBlink:inst2|counter[19] ; FPGA_CLK ; FPGA_CLK ; 0.000 ; 0.079 ; 1.041 ; -; 0.750 ; LedBlink:inst2|counter[21] ; LedBlink:inst2|counter[21] ; FPGA_CLK ; FPGA_CLK ; 0.000 ; 0.079 ; 1.041 ; -; 0.752 ; LedBlink:inst2|counter[22] ; LedBlink:inst2|counter[22] ; FPGA_CLK ; FPGA_CLK ; 0.000 ; 0.079 ; 1.043 ; -; 0.752 ; LedBlink:inst2|counter[20] ; LedBlink:inst2|counter[20] ; FPGA_CLK ; FPGA_CLK ; 0.000 ; 0.079 ; 1.043 ; -; 0.763 ; LedBlink:inst2|counter[13] ; LedBlink:inst2|counter[13] ; FPGA_CLK ; FPGA_CLK ; 0.000 ; 0.079 ; 1.054 ; -; 0.765 ; LedBlink:inst2|counter[12] ; LedBlink:inst2|counter[12] ; FPGA_CLK ; FPGA_CLK ; 0.000 ; 0.079 ; 1.056 ; -; 0.766 ; LedBlink:inst2|counter[6] ; LedBlink:inst2|counter[6] ; FPGA_CLK ; FPGA_CLK ; 0.000 ; 0.079 ; 1.057 ; -; 0.771 ; LedBlink:inst2|counter[15] ; LedBlink:inst2|counter[15] ; FPGA_CLK ; FPGA_CLK ; 0.000 ; 0.079 ; 1.062 ; -; 0.773 ; LedBlink:inst2|counter[0] ; LedBlink:inst2|counter[0] ; FPGA_CLK ; FPGA_CLK ; 0.000 ; 0.079 ; 1.064 ; -; 0.775 ; LedBlink:inst2|counter[4] ; LedBlink:inst2|counter[4] ; FPGA_CLK ; FPGA_CLK ; 0.000 ; 0.079 ; 1.066 ; -; 0.775 ; LedBlink:inst2|counter[16] ; LedBlink:inst2|counter[16] ; FPGA_CLK ; FPGA_CLK ; 0.000 ; 0.079 ; 1.066 ; -; 0.785 ; RAM:inst3|stateMM0.Waiting ; RAM:inst3|stateMM0.Reading ; FPGA_CLK ; FPGA_CLK ; 0.000 ; 0.080 ; 1.077 ; -; 0.786 ; RAM:inst3|stateMM0.Waiting ; RAM:inst3|stateMM0.Writing ; FPGA_CLK ; FPGA_CLK ; 0.000 ; 0.080 ; 1.078 ; -; 0.814 ; RAM:inst3|ce0Prev ; RAM:inst3|stateMM0.Waiting ; FPGA_CLK ; FPGA_CLK ; 0.000 ; 0.080 ; 1.106 ; -; 0.854 ; RAM:inst3|addr[5] ; RAM:inst3|altsyncram:memory_rtl_0|altsyncram_8bi1:auto_generated|ram_block1a0~porta_address_reg0 ; FPGA_CLK ; FPGA_CLK ; 0.000 ; 0.483 ; 1.591 ; -; 0.939 ; RAM:inst3|addr[4] ; RAM:inst3|altsyncram:memory_rtl_0|altsyncram_8bi1:auto_generated|ram_block1a0~porta_address_reg0 ; FPGA_CLK ; FPGA_CLK ; 0.000 ; 0.483 ; 1.676 ; -; 1.027 ; RAM:inst3|addr[2] ; RAM:inst3|altsyncram:memory_rtl_0|altsyncram_8bi1:auto_generated|ram_block1a0~porta_address_reg0 ; FPGA_CLK ; FPGA_CLK ; 0.000 ; 0.483 ; 1.764 ; -; 1.070 ; RAM:inst3|addr[7] ; RAM:inst3|altsyncram:memory_rtl_0|altsyncram_8bi1:auto_generated|ram_block1a0~porta_address_reg0 ; FPGA_CLK ; FPGA_CLK ; 0.000 ; 0.483 ; 1.807 ; -; 1.101 ; LedBlink:inst2|counter[1] ; LedBlink:inst2|counter[2] ; FPGA_CLK ; FPGA_CLK ; 0.000 ; 0.079 ; 1.392 ; -; 1.101 ; LedBlink:inst2|counter[9] ; LedBlink:inst2|counter[10] ; FPGA_CLK ; FPGA_CLK ; 0.000 ; 0.079 ; 1.392 ; -; 1.101 ; LedBlink:inst2|counter[7] ; LedBlink:inst2|counter[8] ; FPGA_CLK ; FPGA_CLK ; 0.000 ; 0.079 ; 1.392 ; -; 1.102 ; LedBlink:inst2|counter[17] ; LedBlink:inst2|counter[18] ; FPGA_CLK ; FPGA_CLK ; 0.000 ; 0.079 ; 1.393 ; -; 1.103 ; LedBlink:inst2|counter[5] ; LedBlink:inst2|counter[6] ; FPGA_CLK ; FPGA_CLK ; 0.000 ; 0.079 ; 1.394 ; -; 1.103 ; LedBlink:inst2|counter[3] ; LedBlink:inst2|counter[4] ; FPGA_CLK ; FPGA_CLK ; 0.000 ; 0.079 ; 1.394 ; -; 1.104 ; LedBlink:inst2|counter[21] ; LedBlink:inst2|counter[22] ; FPGA_CLK ; FPGA_CLK ; 0.000 ; 0.079 ; 1.395 ; -; 1.104 ; LedBlink:inst2|counter[19] ; LedBlink:inst2|counter[20] ; FPGA_CLK ; FPGA_CLK ; 0.000 ; 0.079 ; 1.395 ; -; 1.104 ; LedBlink:inst2|counter[11] ; LedBlink:inst2|counter[12] ; FPGA_CLK ; FPGA_CLK ; 0.000 ; 0.076 ; 1.392 ; -; 1.110 ; LedBlink:inst2|counter[10] ; LedBlink:inst2|counter[11] ; FPGA_CLK ; FPGA_CLK ; 0.000 ; 0.079 ; 1.401 ; -; 1.110 ; LedBlink:inst2|counter[2] ; LedBlink:inst2|counter[3] ; FPGA_CLK ; FPGA_CLK ; 0.000 ; 0.079 ; 1.401 ; -; 1.110 ; LedBlink:inst2|counter[14] ; LedBlink:inst2|counter[15] ; FPGA_CLK ; FPGA_CLK ; 0.000 ; 0.079 ; 1.401 ; -; 1.111 ; LedBlink:inst2|counter[0] ; LedBlink:inst2|counter[1] ; FPGA_CLK ; FPGA_CLK ; 0.000 ; 0.079 ; 1.402 ; -; 1.111 ; LedBlink:inst2|counter[8] ; LedBlink:inst2|counter[9] ; FPGA_CLK ; FPGA_CLK ; 0.000 ; 0.079 ; 1.402 ; -; 1.111 ; LedBlink:inst2|counter[18] ; LedBlink:inst2|counter[19] ; FPGA_CLK ; FPGA_CLK ; 0.000 ; 0.079 ; 1.402 ; -; 1.113 ; LedBlink:inst2|counter[22] ; LedBlink:inst2|counter[23] ; FPGA_CLK ; FPGA_CLK ; 0.000 ; 0.079 ; 1.404 ; -; 1.113 ; LedBlink:inst2|counter[20] ; LedBlink:inst2|counter[21] ; FPGA_CLK ; FPGA_CLK ; 0.000 ; 0.079 ; 1.404 ; -; 1.117 ; LedBlink:inst2|counter[13] ; LedBlink:inst2|counter[14] ; FPGA_CLK ; FPGA_CLK ; 0.000 ; 0.079 ; 1.408 ; -; 1.119 ; LedBlink:inst2|counter[14] ; LedBlink:inst2|counter[16] ; FPGA_CLK ; FPGA_CLK ; 0.000 ; 0.079 ; 1.410 ; -; 1.119 ; LedBlink:inst2|counter[2] ; LedBlink:inst2|counter[4] ; FPGA_CLK ; FPGA_CLK ; 0.000 ; 0.079 ; 1.410 ; -; 1.120 ; LedBlink:inst2|counter[0] ; LedBlink:inst2|counter[2] ; FPGA_CLK ; FPGA_CLK ; 0.000 ; 0.079 ; 1.411 ; -; 1.120 ; LedBlink:inst2|counter[8] ; LedBlink:inst2|counter[10] ; FPGA_CLK ; FPGA_CLK ; 0.000 ; 0.079 ; 1.411 ; -; 1.120 ; LedBlink:inst2|counter[18] ; LedBlink:inst2|counter[20] ; FPGA_CLK ; FPGA_CLK ; 0.000 ; 0.079 ; 1.411 ; -; 1.122 ; LedBlink:inst2|counter[20] ; LedBlink:inst2|counter[22] ; FPGA_CLK ; FPGA_CLK ; 0.000 ; 0.079 ; 1.413 ; -; 1.122 ; LedBlink:inst2|counter[10] ; LedBlink:inst2|counter[12] ; FPGA_CLK ; FPGA_CLK ; 0.000 ; 0.076 ; 1.410 ; -; 1.126 ; LedBlink:inst2|counter[12] ; LedBlink:inst2|counter[13] ; FPGA_CLK ; FPGA_CLK ; 0.000 ; 0.079 ; 1.417 ; -; 1.126 ; LedBlink:inst2|counter[15] ; LedBlink:inst2|counter[16] ; FPGA_CLK ; FPGA_CLK ; 0.000 ; 0.079 ; 1.417 ; -; 1.127 ; LedBlink:inst2|counter[6] ; LedBlink:inst2|counter[7] ; FPGA_CLK ; FPGA_CLK ; 0.000 ; 0.079 ; 1.418 ; -; 1.135 ; LedBlink:inst2|counter[12] ; LedBlink:inst2|counter[14] ; FPGA_CLK ; FPGA_CLK ; 0.000 ; 0.079 ; 1.426 ; -; 1.136 ; LedBlink:inst2|counter[16] ; LedBlink:inst2|counter[17] ; FPGA_CLK ; FPGA_CLK ; 0.000 ; 0.079 ; 1.427 ; -; 1.136 ; LedBlink:inst2|counter[4] ; LedBlink:inst2|counter[5] ; FPGA_CLK ; FPGA_CLK ; 0.000 ; 0.079 ; 1.427 ; -; 1.136 ; LedBlink:inst2|counter[6] ; LedBlink:inst2|counter[8] ; FPGA_CLK ; FPGA_CLK ; 0.000 ; 0.079 ; 1.427 ; -; 1.145 ; LedBlink:inst2|counter[16] ; LedBlink:inst2|counter[18] ; FPGA_CLK ; FPGA_CLK ; 0.000 ; 0.079 ; 1.436 ; -; 1.145 ; LedBlink:inst2|counter[4] ; LedBlink:inst2|counter[6] ; FPGA_CLK ; FPGA_CLK ; 0.000 ; 0.079 ; 1.436 ; -; 1.230 ; RAM:inst3|we0Prev ; RAM:inst3|altsyncram:memory_rtl_0|altsyncram_8bi1:auto_generated|ram_block1a0~porta_we_reg ; FPGA_CLK ; FPGA_CLK ; 0.000 ; 0.471 ; 1.955 ; -; 1.232 ; LedBlink:inst2|counter[9] ; LedBlink:inst2|counter[11] ; FPGA_CLK ; FPGA_CLK ; 0.000 ; 0.079 ; 1.523 ; -; 1.232 ; LedBlink:inst2|counter[1] ; LedBlink:inst2|counter[3] ; FPGA_CLK ; FPGA_CLK ; 0.000 ; 0.079 ; 1.523 ; -; 1.232 ; LedBlink:inst2|counter[7] ; LedBlink:inst2|counter[9] ; FPGA_CLK ; FPGA_CLK ; 0.000 ; 0.079 ; 1.523 ; -; 1.233 ; LedBlink:inst2|counter[17] ; LedBlink:inst2|counter[19] ; FPGA_CLK ; FPGA_CLK ; 0.000 ; 0.079 ; 1.524 ; -; 1.234 ; LedBlink:inst2|counter[5] ; LedBlink:inst2|counter[7] ; FPGA_CLK ; FPGA_CLK ; 0.000 ; 0.079 ; 1.525 ; -; 1.234 ; LedBlink:inst2|counter[3] ; LedBlink:inst2|counter[5] ; FPGA_CLK ; FPGA_CLK ; 0.000 ; 0.079 ; 1.525 ; -; 1.235 ; LedBlink:inst2|counter[21] ; LedBlink:inst2|counter[23] ; FPGA_CLK ; FPGA_CLK ; 0.000 ; 0.079 ; 1.526 ; -; 1.235 ; LedBlink:inst2|counter[19] ; LedBlink:inst2|counter[21] ; FPGA_CLK ; FPGA_CLK ; 0.000 ; 0.079 ; 1.526 ; -; 1.235 ; LedBlink:inst2|counter[11] ; LedBlink:inst2|counter[13] ; FPGA_CLK ; FPGA_CLK ; 0.000 ; 0.076 ; 1.523 ; -; 1.241 ; LedBlink:inst2|counter[1] ; LedBlink:inst2|counter[4] ; FPGA_CLK ; FPGA_CLK ; 0.000 ; 0.079 ; 1.532 ; -; 1.241 ; LedBlink:inst2|counter[7] ; LedBlink:inst2|counter[10] ; FPGA_CLK ; FPGA_CLK ; 0.000 ; 0.079 ; 1.532 ; -; 1.242 ; LedBlink:inst2|counter[17] ; LedBlink:inst2|counter[20] ; FPGA_CLK ; FPGA_CLK ; 0.000 ; 0.079 ; 1.533 ; -; 1.243 ; LedBlink:inst2|counter[5] ; LedBlink:inst2|counter[8] ; FPGA_CLK ; FPGA_CLK ; 0.000 ; 0.079 ; 1.534 ; -; 1.243 ; LedBlink:inst2|counter[3] ; LedBlink:inst2|counter[6] ; FPGA_CLK ; FPGA_CLK ; 0.000 ; 0.079 ; 1.534 ; -; 1.244 ; LedBlink:inst2|counter[19] ; LedBlink:inst2|counter[22] ; FPGA_CLK ; FPGA_CLK ; 0.000 ; 0.079 ; 1.535 ; -; 1.244 ; LedBlink:inst2|counter[9] ; LedBlink:inst2|counter[12] ; FPGA_CLK ; FPGA_CLK ; 0.000 ; 0.076 ; 1.532 ; -; 1.244 ; LedBlink:inst2|counter[11] ; LedBlink:inst2|counter[14] ; FPGA_CLK ; FPGA_CLK ; 0.000 ; 0.076 ; 1.532 ; -; 1.248 ; LedBlink:inst2|counter[13] ; LedBlink:inst2|counter[15] ; FPGA_CLK ; FPGA_CLK ; 0.000 ; 0.079 ; 1.539 ; -; 1.250 ; LedBlink:inst2|counter[14] ; LedBlink:inst2|counter[17] ; FPGA_CLK ; FPGA_CLK ; 0.000 ; 0.079 ; 1.541 ; -; 1.250 ; LedBlink:inst2|counter[2] ; LedBlink:inst2|counter[5] ; FPGA_CLK ; FPGA_CLK ; 0.000 ; 0.079 ; 1.541 ; -+-------+-----------------------------------+--------------------------------------------------------------------------------------------------+--------------+-------------+--------------+------------+------------+ ++---------------------------------------------------------------------------------------------------------------------------------------+ +; Slow 1200mV 85C Model Hold: 'FPGA_CLK' ; ++-------+----------------------------+----------------------------+--------------+-------------+--------------+------------+------------+ +; Slack ; From Node ; To Node ; Launch Clock ; Latch Clock ; Relationship ; Clock Skew ; Data Delay ; ++-------+----------------------------+----------------------------+--------------+-------------+--------------+------------+------------+ +; 0.454 ; RAM:inst1|stateMM0.Waiting ; RAM:inst1|stateMM0.Waiting ; FPGA_CLK ; FPGA_CLK ; 0.000 ; 0.080 ; 0.746 ; +; 0.454 ; LedBlink:inst2|ledBuf ; LedBlink:inst2|ledBuf ; FPGA_CLK ; FPGA_CLK ; 0.000 ; 0.080 ; 0.746 ; +; 0.662 ; RAM:inst1|ce0Prev ; RAM:inst1|stateMM0.Reading ; FPGA_CLK ; FPGA_CLK ; 0.000 ; 0.080 ; 0.954 ; +; 0.663 ; RAM:inst1|ce0Prev ; RAM:inst1|stateMM0.Writing ; FPGA_CLK ; FPGA_CLK ; 0.000 ; 0.080 ; 0.955 ; +; 0.745 ; LedBlink:inst2|counter[7] ; LedBlink:inst2|counter[7] ; FPGA_CLK ; FPGA_CLK ; 0.000 ; 0.080 ; 1.037 ; +; 0.745 ; LedBlink:inst2|counter[9] ; LedBlink:inst2|counter[9] ; FPGA_CLK ; FPGA_CLK ; 0.000 ; 0.080 ; 1.037 ; +; 0.745 ; LedBlink:inst2|counter[11] ; LedBlink:inst2|counter[11] ; FPGA_CLK ; FPGA_CLK ; 0.000 ; 0.080 ; 1.037 ; +; 0.746 ; LedBlink:inst2|counter[15] ; LedBlink:inst2|counter[15] ; FPGA_CLK ; FPGA_CLK ; 0.000 ; 0.079 ; 1.037 ; +; 0.746 ; LedBlink:inst2|counter[1] ; LedBlink:inst2|counter[1] ; FPGA_CLK ; FPGA_CLK ; 0.000 ; 0.080 ; 1.038 ; +; 0.747 ; LedBlink:inst2|counter[5] ; LedBlink:inst2|counter[5] ; FPGA_CLK ; FPGA_CLK ; 0.000 ; 0.080 ; 1.039 ; +; 0.747 ; LedBlink:inst2|counter[13] ; LedBlink:inst2|counter[13] ; FPGA_CLK ; FPGA_CLK ; 0.000 ; 0.079 ; 1.038 ; +; 0.747 ; LedBlink:inst2|counter[17] ; LedBlink:inst2|counter[17] ; FPGA_CLK ; FPGA_CLK ; 0.000 ; 0.079 ; 1.038 ; +; 0.748 ; LedBlink:inst2|counter[2] ; LedBlink:inst2|counter[2] ; FPGA_CLK ; FPGA_CLK ; 0.000 ; 0.080 ; 1.040 ; +; 0.748 ; LedBlink:inst2|counter[3] ; LedBlink:inst2|counter[3] ; FPGA_CLK ; FPGA_CLK ; 0.000 ; 0.080 ; 1.040 ; +; 0.748 ; LedBlink:inst2|counter[10] ; LedBlink:inst2|counter[10] ; FPGA_CLK ; FPGA_CLK ; 0.000 ; 0.080 ; 1.040 ; +; 0.749 ; LedBlink:inst2|counter[12] ; LedBlink:inst2|counter[12] ; FPGA_CLK ; FPGA_CLK ; 0.000 ; 0.079 ; 1.040 ; +; 0.749 ; LedBlink:inst2|counter[6] ; LedBlink:inst2|counter[6] ; FPGA_CLK ; FPGA_CLK ; 0.000 ; 0.080 ; 1.041 ; +; 0.749 ; LedBlink:inst2|counter[8] ; LedBlink:inst2|counter[8] ; FPGA_CLK ; FPGA_CLK ; 0.000 ; 0.080 ; 1.041 ; +; 0.749 ; LedBlink:inst2|counter[23] ; LedBlink:inst2|counter[23] ; FPGA_CLK ; FPGA_CLK ; 0.000 ; 0.079 ; 1.040 ; +; 0.750 ; LedBlink:inst2|counter[4] ; LedBlink:inst2|counter[4] ; FPGA_CLK ; FPGA_CLK ; 0.000 ; 0.080 ; 1.042 ; +; 0.750 ; LedBlink:inst2|counter[14] ; LedBlink:inst2|counter[14] ; FPGA_CLK ; FPGA_CLK ; 0.000 ; 0.079 ; 1.041 ; +; 0.750 ; LedBlink:inst2|counter[21] ; LedBlink:inst2|counter[21] ; FPGA_CLK ; FPGA_CLK ; 0.000 ; 0.079 ; 1.041 ; +; 0.750 ; LedBlink:inst2|counter[16] ; LedBlink:inst2|counter[16] ; FPGA_CLK ; FPGA_CLK ; 0.000 ; 0.079 ; 1.041 ; +; 0.750 ; LedBlink:inst2|counter[18] ; LedBlink:inst2|counter[18] ; FPGA_CLK ; FPGA_CLK ; 0.000 ; 0.079 ; 1.041 ; +; 0.750 ; LedBlink:inst2|counter[19] ; LedBlink:inst2|counter[19] ; FPGA_CLK ; FPGA_CLK ; 0.000 ; 0.079 ; 1.041 ; +; 0.751 ; LedBlink:inst2|counter[22] ; LedBlink:inst2|counter[22] ; FPGA_CLK ; FPGA_CLK ; 0.000 ; 0.079 ; 1.042 ; +; 0.752 ; LedBlink:inst2|counter[20] ; LedBlink:inst2|counter[20] ; FPGA_CLK ; FPGA_CLK ; 0.000 ; 0.079 ; 1.043 ; +; 0.771 ; LedBlink:inst2|counter[0] ; LedBlink:inst2|counter[0] ; FPGA_CLK ; FPGA_CLK ; 0.000 ; 0.080 ; 1.063 ; +; 0.857 ; RAM:inst1|oe0Prev ; RAM:inst1|stateMM0.Waiting ; FPGA_CLK ; FPGA_CLK ; 0.000 ; 0.080 ; 1.149 ; +; 0.877 ; RAM:inst1|stateMM0.Waiting ; RAM:inst1|stateMM0.Reading ; FPGA_CLK ; FPGA_CLK ; 0.000 ; 0.080 ; 1.169 ; +; 0.900 ; RAM:inst1|stateMM0.Waiting ; RAM:inst1|stateMM0.Writing ; FPGA_CLK ; FPGA_CLK ; 0.000 ; 0.080 ; 1.192 ; +; 1.099 ; LedBlink:inst2|counter[11] ; LedBlink:inst2|counter[12] ; FPGA_CLK ; FPGA_CLK ; 0.000 ; 0.081 ; 1.392 ; +; 1.100 ; LedBlink:inst2|counter[9] ; LedBlink:inst2|counter[10] ; FPGA_CLK ; FPGA_CLK ; 0.000 ; 0.080 ; 1.392 ; +; 1.100 ; LedBlink:inst2|counter[7] ; LedBlink:inst2|counter[8] ; FPGA_CLK ; FPGA_CLK ; 0.000 ; 0.080 ; 1.392 ; +; 1.101 ; LedBlink:inst2|counter[1] ; LedBlink:inst2|counter[2] ; FPGA_CLK ; FPGA_CLK ; 0.000 ; 0.080 ; 1.393 ; +; 1.101 ; LedBlink:inst2|counter[5] ; LedBlink:inst2|counter[6] ; FPGA_CLK ; FPGA_CLK ; 0.000 ; 0.080 ; 1.393 ; +; 1.101 ; LedBlink:inst2|counter[13] ; LedBlink:inst2|counter[14] ; FPGA_CLK ; FPGA_CLK ; 0.000 ; 0.079 ; 1.392 ; +; 1.101 ; LedBlink:inst2|counter[15] ; LedBlink:inst2|counter[16] ; FPGA_CLK ; FPGA_CLK ; 0.000 ; 0.079 ; 1.392 ; +; 1.102 ; LedBlink:inst2|counter[3] ; LedBlink:inst2|counter[4] ; FPGA_CLK ; FPGA_CLK ; 0.000 ; 0.080 ; 1.394 ; +; 1.102 ; LedBlink:inst2|counter[17] ; LedBlink:inst2|counter[18] ; FPGA_CLK ; FPGA_CLK ; 0.000 ; 0.079 ; 1.393 ; +; 1.104 ; LedBlink:inst2|counter[21] ; LedBlink:inst2|counter[22] ; FPGA_CLK ; FPGA_CLK ; 0.000 ; 0.079 ; 1.395 ; +; 1.104 ; LedBlink:inst2|counter[19] ; LedBlink:inst2|counter[20] ; FPGA_CLK ; FPGA_CLK ; 0.000 ; 0.079 ; 1.395 ; +; 1.106 ; RAM:inst1|stateMM0.Writing ; RAM:inst1|stateMM0.Waiting ; FPGA_CLK ; FPGA_CLK ; 0.000 ; 0.080 ; 1.398 ; +; 1.109 ; LedBlink:inst2|counter[10] ; LedBlink:inst2|counter[11] ; FPGA_CLK ; FPGA_CLK ; 0.000 ; 0.080 ; 1.401 ; +; 1.109 ; LedBlink:inst2|counter[0] ; LedBlink:inst2|counter[1] ; FPGA_CLK ; FPGA_CLK ; 0.000 ; 0.080 ; 1.401 ; +; 1.109 ; LedBlink:inst2|counter[2] ; LedBlink:inst2|counter[3] ; FPGA_CLK ; FPGA_CLK ; 0.000 ; 0.080 ; 1.401 ; +; 1.110 ; LedBlink:inst2|counter[6] ; LedBlink:inst2|counter[7] ; FPGA_CLK ; FPGA_CLK ; 0.000 ; 0.080 ; 1.402 ; +; 1.110 ; LedBlink:inst2|counter[8] ; LedBlink:inst2|counter[9] ; FPGA_CLK ; FPGA_CLK ; 0.000 ; 0.080 ; 1.402 ; +; 1.110 ; LedBlink:inst2|counter[12] ; LedBlink:inst2|counter[13] ; FPGA_CLK ; FPGA_CLK ; 0.000 ; 0.079 ; 1.401 ; +; 1.111 ; LedBlink:inst2|counter[14] ; LedBlink:inst2|counter[15] ; FPGA_CLK ; FPGA_CLK ; 0.000 ; 0.079 ; 1.402 ; +; 1.111 ; LedBlink:inst2|counter[16] ; LedBlink:inst2|counter[17] ; FPGA_CLK ; FPGA_CLK ; 0.000 ; 0.079 ; 1.402 ; +; 1.111 ; LedBlink:inst2|counter[4] ; LedBlink:inst2|counter[5] ; FPGA_CLK ; FPGA_CLK ; 0.000 ; 0.080 ; 1.403 ; +; 1.111 ; LedBlink:inst2|counter[18] ; LedBlink:inst2|counter[19] ; FPGA_CLK ; FPGA_CLK ; 0.000 ; 0.079 ; 1.402 ; +; 1.112 ; LedBlink:inst2|counter[22] ; LedBlink:inst2|counter[23] ; FPGA_CLK ; FPGA_CLK ; 0.000 ; 0.079 ; 1.403 ; +; 1.113 ; LedBlink:inst2|counter[20] ; LedBlink:inst2|counter[21] ; FPGA_CLK ; FPGA_CLK ; 0.000 ; 0.079 ; 1.404 ; +; 1.117 ; LedBlink:inst2|counter[10] ; LedBlink:inst2|counter[12] ; FPGA_CLK ; FPGA_CLK ; 0.000 ; 0.081 ; 1.410 ; +; 1.118 ; LedBlink:inst2|counter[0] ; LedBlink:inst2|counter[2] ; FPGA_CLK ; FPGA_CLK ; 0.000 ; 0.080 ; 1.410 ; +; 1.118 ; LedBlink:inst2|counter[2] ; LedBlink:inst2|counter[4] ; FPGA_CLK ; FPGA_CLK ; 0.000 ; 0.080 ; 1.410 ; +; 1.119 ; LedBlink:inst2|counter[8] ; LedBlink:inst2|counter[10] ; FPGA_CLK ; FPGA_CLK ; 0.000 ; 0.080 ; 1.411 ; +; 1.119 ; LedBlink:inst2|counter[6] ; LedBlink:inst2|counter[8] ; FPGA_CLK ; FPGA_CLK ; 0.000 ; 0.080 ; 1.411 ; +; 1.119 ; LedBlink:inst2|counter[12] ; LedBlink:inst2|counter[14] ; FPGA_CLK ; FPGA_CLK ; 0.000 ; 0.079 ; 1.410 ; +; 1.120 ; LedBlink:inst2|counter[4] ; LedBlink:inst2|counter[6] ; FPGA_CLK ; FPGA_CLK ; 0.000 ; 0.080 ; 1.412 ; +; 1.120 ; LedBlink:inst2|counter[14] ; LedBlink:inst2|counter[16] ; FPGA_CLK ; FPGA_CLK ; 0.000 ; 0.079 ; 1.411 ; +; 1.120 ; LedBlink:inst2|counter[16] ; LedBlink:inst2|counter[18] ; FPGA_CLK ; FPGA_CLK ; 0.000 ; 0.079 ; 1.411 ; +; 1.120 ; LedBlink:inst2|counter[18] ; LedBlink:inst2|counter[20] ; FPGA_CLK ; FPGA_CLK ; 0.000 ; 0.079 ; 1.411 ; +; 1.122 ; LedBlink:inst2|counter[20] ; LedBlink:inst2|counter[22] ; FPGA_CLK ; FPGA_CLK ; 0.000 ; 0.079 ; 1.413 ; +; 1.193 ; RAM:inst1|we0Prev ; RAM:inst1|stateMM0.Waiting ; FPGA_CLK ; FPGA_CLK ; 0.000 ; 0.080 ; 1.485 ; +; 1.230 ; LedBlink:inst2|counter[11] ; LedBlink:inst2|counter[13] ; FPGA_CLK ; FPGA_CLK ; 0.000 ; 0.081 ; 1.523 ; +; 1.231 ; LedBlink:inst2|counter[9] ; LedBlink:inst2|counter[11] ; FPGA_CLK ; FPGA_CLK ; 0.000 ; 0.080 ; 1.523 ; +; 1.231 ; LedBlink:inst2|counter[7] ; LedBlink:inst2|counter[9] ; FPGA_CLK ; FPGA_CLK ; 0.000 ; 0.080 ; 1.523 ; +; 1.232 ; LedBlink:inst2|counter[1] ; LedBlink:inst2|counter[3] ; FPGA_CLK ; FPGA_CLK ; 0.000 ; 0.080 ; 1.524 ; +; 1.232 ; LedBlink:inst2|counter[5] ; LedBlink:inst2|counter[7] ; FPGA_CLK ; FPGA_CLK ; 0.000 ; 0.080 ; 1.524 ; +; 1.232 ; LedBlink:inst2|counter[13] ; LedBlink:inst2|counter[15] ; FPGA_CLK ; FPGA_CLK ; 0.000 ; 0.079 ; 1.523 ; +; 1.232 ; LedBlink:inst2|counter[15] ; LedBlink:inst2|counter[17] ; FPGA_CLK ; FPGA_CLK ; 0.000 ; 0.079 ; 1.523 ; +; 1.233 ; LedBlink:inst2|counter[3] ; LedBlink:inst2|counter[5] ; FPGA_CLK ; FPGA_CLK ; 0.000 ; 0.080 ; 1.525 ; +; 1.233 ; LedBlink:inst2|counter[17] ; LedBlink:inst2|counter[19] ; FPGA_CLK ; FPGA_CLK ; 0.000 ; 0.079 ; 1.524 ; +; 1.235 ; LedBlink:inst2|counter[21] ; LedBlink:inst2|counter[23] ; FPGA_CLK ; FPGA_CLK ; 0.000 ; 0.079 ; 1.526 ; +; 1.235 ; LedBlink:inst2|counter[19] ; LedBlink:inst2|counter[21] ; FPGA_CLK ; FPGA_CLK ; 0.000 ; 0.079 ; 1.526 ; +; 1.239 ; LedBlink:inst2|counter[9] ; LedBlink:inst2|counter[12] ; FPGA_CLK ; FPGA_CLK ; 0.000 ; 0.081 ; 1.532 ; +; 1.239 ; LedBlink:inst2|counter[11] ; LedBlink:inst2|counter[14] ; FPGA_CLK ; FPGA_CLK ; 0.000 ; 0.081 ; 1.532 ; +; 1.240 ; LedBlink:inst2|counter[7] ; LedBlink:inst2|counter[10] ; FPGA_CLK ; FPGA_CLK ; 0.000 ; 0.080 ; 1.532 ; +; 1.241 ; LedBlink:inst2|counter[1] ; LedBlink:inst2|counter[4] ; FPGA_CLK ; FPGA_CLK ; 0.000 ; 0.080 ; 1.533 ; +; 1.241 ; LedBlink:inst2|counter[5] ; LedBlink:inst2|counter[8] ; FPGA_CLK ; FPGA_CLK ; 0.000 ; 0.080 ; 1.533 ; +; 1.241 ; LedBlink:inst2|counter[13] ; LedBlink:inst2|counter[16] ; FPGA_CLK ; FPGA_CLK ; 0.000 ; 0.079 ; 1.532 ; +; 1.241 ; LedBlink:inst2|counter[15] ; LedBlink:inst2|counter[18] ; FPGA_CLK ; FPGA_CLK ; 0.000 ; 0.079 ; 1.532 ; +; 1.242 ; LedBlink:inst2|counter[3] ; LedBlink:inst2|counter[6] ; FPGA_CLK ; FPGA_CLK ; 0.000 ; 0.080 ; 1.534 ; +; 1.242 ; LedBlink:inst2|counter[17] ; LedBlink:inst2|counter[20] ; FPGA_CLK ; FPGA_CLK ; 0.000 ; 0.079 ; 1.533 ; +; 1.244 ; LedBlink:inst2|counter[19] ; LedBlink:inst2|counter[22] ; FPGA_CLK ; FPGA_CLK ; 0.000 ; 0.079 ; 1.535 ; +; 1.248 ; LedBlink:inst2|counter[10] ; LedBlink:inst2|counter[13] ; FPGA_CLK ; FPGA_CLK ; 0.000 ; 0.081 ; 1.541 ; +; 1.249 ; LedBlink:inst2|counter[0] ; LedBlink:inst2|counter[3] ; FPGA_CLK ; FPGA_CLK ; 0.000 ; 0.080 ; 1.541 ; +; 1.249 ; LedBlink:inst2|counter[2] ; LedBlink:inst2|counter[5] ; FPGA_CLK ; FPGA_CLK ; 0.000 ; 0.080 ; 1.541 ; +; 1.250 ; LedBlink:inst2|counter[8] ; LedBlink:inst2|counter[11] ; FPGA_CLK ; FPGA_CLK ; 0.000 ; 0.080 ; 1.542 ; +; 1.250 ; LedBlink:inst2|counter[6] ; LedBlink:inst2|counter[9] ; FPGA_CLK ; FPGA_CLK ; 0.000 ; 0.080 ; 1.542 ; +; 1.250 ; LedBlink:inst2|counter[12] ; LedBlink:inst2|counter[15] ; FPGA_CLK ; FPGA_CLK ; 0.000 ; 0.079 ; 1.541 ; +; 1.251 ; LedBlink:inst2|counter[4] ; LedBlink:inst2|counter[7] ; FPGA_CLK ; FPGA_CLK ; 0.000 ; 0.080 ; 1.543 ; +; 1.251 ; LedBlink:inst2|counter[14] ; LedBlink:inst2|counter[17] ; FPGA_CLK ; FPGA_CLK ; 0.000 ; 0.079 ; 1.542 ; +; 1.251 ; LedBlink:inst2|counter[16] ; LedBlink:inst2|counter[19] ; FPGA_CLK ; FPGA_CLK ; 0.000 ; 0.079 ; 1.542 ; +; 1.251 ; LedBlink:inst2|counter[18] ; LedBlink:inst2|counter[21] ; FPGA_CLK ; FPGA_CLK ; 0.000 ; 0.079 ; 1.542 ; +; 1.253 ; LedBlink:inst2|counter[20] ; LedBlink:inst2|counter[23] ; FPGA_CLK ; FPGA_CLK ; 0.000 ; 0.079 ; 1.544 ; +; 1.257 ; LedBlink:inst2|counter[10] ; LedBlink:inst2|counter[14] ; FPGA_CLK ; FPGA_CLK ; 0.000 ; 0.081 ; 1.550 ; ++-------+----------------------------+----------------------------+--------------+-------------+--------------+------------+------------+ -+--------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------+ -; Slow 1200mV 85C Model Minimum Pulse Width: 'FPGA_CLK' ; -+--------+--------------+----------------+------------------+----------+------------+--------------------------------------------------------------------------------------------------+ -; Slack ; Actual Width ; Required Width ; Type ; Clock ; Clock Edge ; Target ; -+--------+--------------+----------------+------------------+----------+------------+--------------------------------------------------------------------------------------------------+ -; 19.618 ; 19.853 ; 0.235 ; Low Pulse Width ; FPGA_CLK ; Rise ; RAM:inst3|altsyncram:memory_rtl_0|altsyncram_8bi1:auto_generated|ram_block1a0~portb_address_reg0 ; -; 19.619 ; 19.854 ; 0.235 ; Low Pulse Width ; FPGA_CLK ; Rise ; RAM:inst3|altsyncram:memory_rtl_0|altsyncram_8bi1:auto_generated|ram_block1a0~porta_address_reg0 ; -; 19.619 ; 19.854 ; 0.235 ; Low Pulse Width ; FPGA_CLK ; Rise ; RAM:inst3|altsyncram:memory_rtl_0|altsyncram_8bi1:auto_generated|ram_block1a0~porta_we_reg ; -; 19.621 ; 19.856 ; 0.235 ; Low Pulse Width ; FPGA_CLK ; Rise ; RAM:inst3|altsyncram:memory_rtl_0|altsyncram_8bi1:auto_generated|ram_block1a0~porta_datain_reg0 ; -; 19.757 ; 19.945 ; 0.188 ; Low Pulse Width ; FPGA_CLK ; Rise ; LedBlink:inst2|counter[12] ; -; 19.757 ; 19.945 ; 0.188 ; Low Pulse Width ; FPGA_CLK ; Rise ; LedBlink:inst2|counter[13] ; -; 19.757 ; 19.945 ; 0.188 ; Low Pulse Width ; FPGA_CLK ; Rise ; LedBlink:inst2|counter[14] ; -; 19.757 ; 19.945 ; 0.188 ; Low Pulse Width ; FPGA_CLK ; Rise ; LedBlink:inst2|counter[15] ; -; 19.757 ; 19.945 ; 0.188 ; Low Pulse Width ; FPGA_CLK ; Rise ; LedBlink:inst2|counter[16] ; -; 19.757 ; 19.945 ; 0.188 ; Low Pulse Width ; FPGA_CLK ; Rise ; LedBlink:inst2|counter[17] ; -; 19.757 ; 19.945 ; 0.188 ; Low Pulse Width ; FPGA_CLK ; Rise ; LedBlink:inst2|counter[18] ; -; 19.757 ; 19.945 ; 0.188 ; Low Pulse Width ; FPGA_CLK ; Rise ; LedBlink:inst2|counter[19] ; -; 19.757 ; 19.945 ; 0.188 ; Low Pulse Width ; FPGA_CLK ; Rise ; LedBlink:inst2|counter[20] ; -; 19.757 ; 19.945 ; 0.188 ; Low Pulse Width ; FPGA_CLK ; Rise ; LedBlink:inst2|counter[21] ; -; 19.757 ; 19.945 ; 0.188 ; Low Pulse Width ; FPGA_CLK ; Rise ; LedBlink:inst2|counter[22] ; -; 19.757 ; 19.945 ; 0.188 ; Low Pulse Width ; FPGA_CLK ; Rise ; LedBlink:inst2|counter[23] ; -; 19.758 ; 19.946 ; 0.188 ; Low Pulse Width ; FPGA_CLK ; Rise ; LedBlink:inst2|counter[0] ; -; 19.758 ; 19.946 ; 0.188 ; Low Pulse Width ; FPGA_CLK ; Rise ; LedBlink:inst2|counter[10] ; -; 19.758 ; 19.946 ; 0.188 ; Low Pulse Width ; FPGA_CLK ; Rise ; LedBlink:inst2|counter[11] ; -; 19.758 ; 19.946 ; 0.188 ; Low Pulse Width ; FPGA_CLK ; Rise ; LedBlink:inst2|counter[1] ; -; 19.758 ; 19.946 ; 0.188 ; Low Pulse Width ; FPGA_CLK ; Rise ; LedBlink:inst2|counter[2] ; -; 19.758 ; 19.946 ; 0.188 ; Low Pulse Width ; FPGA_CLK ; Rise ; LedBlink:inst2|counter[3] ; -; 19.758 ; 19.946 ; 0.188 ; Low Pulse Width ; FPGA_CLK ; Rise ; LedBlink:inst2|counter[4] ; -; 19.758 ; 19.946 ; 0.188 ; Low Pulse Width ; FPGA_CLK ; Rise ; LedBlink:inst2|counter[5] ; -; 19.758 ; 19.946 ; 0.188 ; Low Pulse Width ; FPGA_CLK ; Rise ; LedBlink:inst2|counter[6] ; -; 19.758 ; 19.946 ; 0.188 ; Low Pulse Width ; FPGA_CLK ; Rise ; LedBlink:inst2|counter[7] ; -; 19.758 ; 19.946 ; 0.188 ; Low Pulse Width ; FPGA_CLK ; Rise ; LedBlink:inst2|counter[8] ; -; 19.758 ; 19.946 ; 0.188 ; Low Pulse Width ; FPGA_CLK ; Rise ; LedBlink:inst2|counter[9] ; -; 19.758 ; 19.946 ; 0.188 ; Low Pulse Width ; FPGA_CLK ; Rise ; RAM:inst3|ce0Prev ; -; 19.758 ; 19.946 ; 0.188 ; Low Pulse Width ; FPGA_CLK ; Rise ; RAM:inst3|data0[0]~reg0 ; -; 19.758 ; 19.946 ; 0.188 ; Low Pulse Width ; FPGA_CLK ; Rise ; RAM:inst3|data0[1]~reg0 ; -; 19.758 ; 19.946 ; 0.188 ; Low Pulse Width ; FPGA_CLK ; Rise ; RAM:inst3|data0[2]~reg0 ; -; 19.758 ; 19.946 ; 0.188 ; Low Pulse Width ; FPGA_CLK ; Rise ; RAM:inst3|data0[3]~reg0 ; -; 19.758 ; 19.946 ; 0.188 ; Low Pulse Width ; FPGA_CLK ; Rise ; RAM:inst3|data0[4]~reg0 ; -; 19.758 ; 19.946 ; 0.188 ; Low Pulse Width ; FPGA_CLK ; Rise ; RAM:inst3|data0[5]~reg0 ; -; 19.758 ; 19.946 ; 0.188 ; Low Pulse Width ; FPGA_CLK ; Rise ; RAM:inst3|data0[6]~reg0 ; -; 19.758 ; 19.946 ; 0.188 ; Low Pulse Width ; FPGA_CLK ; Rise ; RAM:inst3|data0[7]~reg0 ; -; 19.758 ; 19.946 ; 0.188 ; Low Pulse Width ; FPGA_CLK ; Rise ; RAM:inst3|memory_rtl_0_bypass[0] ; -; 19.758 ; 19.946 ; 0.188 ; Low Pulse Width ; FPGA_CLK ; Rise ; RAM:inst3|memory_rtl_0_bypass[17] ; -; 19.758 ; 19.946 ; 0.188 ; Low Pulse Width ; FPGA_CLK ; Rise ; RAM:inst3|memory_rtl_0_bypass[18] ; -; 19.758 ; 19.946 ; 0.188 ; Low Pulse Width ; FPGA_CLK ; Rise ; RAM:inst3|memory_rtl_0_bypass[19] ; -; 19.758 ; 19.946 ; 0.188 ; Low Pulse Width ; FPGA_CLK ; Rise ; RAM:inst3|memory_rtl_0_bypass[1] ; -; 19.758 ; 19.946 ; 0.188 ; Low Pulse Width ; FPGA_CLK ; Rise ; RAM:inst3|memory_rtl_0_bypass[20] ; -; 19.758 ; 19.946 ; 0.188 ; Low Pulse Width ; FPGA_CLK ; Rise ; RAM:inst3|memory_rtl_0_bypass[21] ; -; 19.758 ; 19.946 ; 0.188 ; Low Pulse Width ; FPGA_CLK ; Rise ; RAM:inst3|memory_rtl_0_bypass[22] ; -; 19.758 ; 19.946 ; 0.188 ; Low Pulse Width ; FPGA_CLK ; Rise ; RAM:inst3|memory_rtl_0_bypass[23] ; -; 19.758 ; 19.946 ; 0.188 ; Low Pulse Width ; FPGA_CLK ; Rise ; RAM:inst3|memory_rtl_0_bypass[24] ; -; 19.758 ; 19.946 ; 0.188 ; Low Pulse Width ; FPGA_CLK ; Rise ; RAM:inst3|memory_rtl_0_bypass[3] ; -; 19.758 ; 19.946 ; 0.188 ; Low Pulse Width ; FPGA_CLK ; Rise ; RAM:inst3|memory_rtl_0_bypass[5] ; -; 19.758 ; 19.946 ; 0.188 ; Low Pulse Width ; FPGA_CLK ; Rise ; RAM:inst3|memory_rtl_0_bypass[7] ; -; 19.758 ; 19.946 ; 0.188 ; Low Pulse Width ; FPGA_CLK ; Rise ; RAM:inst3|oe0Prev ; -; 19.758 ; 19.946 ; 0.188 ; Low Pulse Width ; FPGA_CLK ; Rise ; RAM:inst3|stateMM0.Reading ; -; 19.758 ; 19.946 ; 0.188 ; Low Pulse Width ; FPGA_CLK ; Rise ; RAM:inst3|stateMM0.Waiting ; -; 19.758 ; 19.946 ; 0.188 ; Low Pulse Width ; FPGA_CLK ; Rise ; RAM:inst3|stateMM0.Writing ; -; 19.758 ; 19.946 ; 0.188 ; Low Pulse Width ; FPGA_CLK ; Rise ; RAM:inst3|we0Prev ; -; 19.759 ; 19.947 ; 0.188 ; Low Pulse Width ; FPGA_CLK ; Rise ; RAM:inst3|data0[0]~en ; -; 19.759 ; 19.947 ; 0.188 ; Low Pulse Width ; FPGA_CLK ; Rise ; RAM:inst3|data0[1]~en ; -; 19.759 ; 19.947 ; 0.188 ; Low Pulse Width ; FPGA_CLK ; Rise ; RAM:inst3|data0[2]~en ; -; 19.759 ; 19.947 ; 0.188 ; Low Pulse Width ; FPGA_CLK ; Rise ; RAM:inst3|data0[3]~en ; -; 19.759 ; 19.947 ; 0.188 ; Low Pulse Width ; FPGA_CLK ; Rise ; RAM:inst3|data0[4]~en ; -; 19.759 ; 19.947 ; 0.188 ; Low Pulse Width ; FPGA_CLK ; Rise ; RAM:inst3|data0[5]~en ; -; 19.759 ; 19.947 ; 0.188 ; Low Pulse Width ; FPGA_CLK ; Rise ; RAM:inst3|data0[6]~en ; -; 19.759 ; 19.947 ; 0.188 ; Low Pulse Width ; FPGA_CLK ; Rise ; RAM:inst3|data0[7]~en ; -; 19.760 ; 19.948 ; 0.188 ; Low Pulse Width ; FPGA_CLK ; Rise ; LedBlink:inst2|ledBuf ; -; 19.760 ; 19.948 ; 0.188 ; Low Pulse Width ; FPGA_CLK ; Rise ; RAM:inst3|addr[0] ; -; 19.760 ; 19.948 ; 0.188 ; Low Pulse Width ; FPGA_CLK ; Rise ; RAM:inst3|addr[1] ; -; 19.760 ; 19.948 ; 0.188 ; Low Pulse Width ; FPGA_CLK ; Rise ; RAM:inst3|addr[2] ; -; 19.760 ; 19.948 ; 0.188 ; Low Pulse Width ; FPGA_CLK ; Rise ; RAM:inst3|addr[3] ; -; 19.760 ; 19.948 ; 0.188 ; Low Pulse Width ; FPGA_CLK ; Rise ; RAM:inst3|addr[4] ; -; 19.760 ; 19.948 ; 0.188 ; Low Pulse Width ; FPGA_CLK ; Rise ; RAM:inst3|addr[5] ; -; 19.760 ; 19.948 ; 0.188 ; Low Pulse Width ; FPGA_CLK ; Rise ; RAM:inst3|addr[6] ; -; 19.760 ; 19.948 ; 0.188 ; Low Pulse Width ; FPGA_CLK ; Rise ; RAM:inst3|addr[7] ; -; 19.760 ; 19.948 ; 0.188 ; Low Pulse Width ; FPGA_CLK ; Rise ; RAM:inst3|memory_rtl_0_bypass[10] ; -; 19.760 ; 19.948 ; 0.188 ; Low Pulse Width ; FPGA_CLK ; Rise ; RAM:inst3|memory_rtl_0_bypass[11] ; -; 19.760 ; 19.948 ; 0.188 ; Low Pulse Width ; FPGA_CLK ; Rise ; RAM:inst3|memory_rtl_0_bypass[12] ; -; 19.760 ; 19.948 ; 0.188 ; Low Pulse Width ; FPGA_CLK ; Rise ; RAM:inst3|memory_rtl_0_bypass[13] ; -; 19.760 ; 19.948 ; 0.188 ; Low Pulse Width ; FPGA_CLK ; Rise ; RAM:inst3|memory_rtl_0_bypass[14] ; -; 19.760 ; 19.948 ; 0.188 ; Low Pulse Width ; FPGA_CLK ; Rise ; RAM:inst3|memory_rtl_0_bypass[15] ; -; 19.760 ; 19.948 ; 0.188 ; Low Pulse Width ; FPGA_CLK ; Rise ; RAM:inst3|memory_rtl_0_bypass[16] ; -; 19.760 ; 19.948 ; 0.188 ; Low Pulse Width ; FPGA_CLK ; Rise ; RAM:inst3|memory_rtl_0_bypass[2] ; -; 19.760 ; 19.948 ; 0.188 ; Low Pulse Width ; FPGA_CLK ; Rise ; RAM:inst3|memory_rtl_0_bypass[4] ; -; 19.760 ; 19.948 ; 0.188 ; Low Pulse Width ; FPGA_CLK ; Rise ; RAM:inst3|memory_rtl_0_bypass[6] ; -; 19.760 ; 19.948 ; 0.188 ; Low Pulse Width ; FPGA_CLK ; Rise ; RAM:inst3|memory_rtl_0_bypass[8] ; -; 19.760 ; 19.948 ; 0.188 ; Low Pulse Width ; FPGA_CLK ; Rise ; RAM:inst3|memory_rtl_0_bypass[9] ; -; 19.830 ; 20.050 ; 0.220 ; High Pulse Width ; FPGA_CLK ; Rise ; LedBlink:inst2|ledBuf ; -; 19.830 ; 20.050 ; 0.220 ; High Pulse Width ; FPGA_CLK ; Rise ; RAM:inst3|addr[0] ; -; 19.830 ; 20.050 ; 0.220 ; High Pulse Width ; FPGA_CLK ; Rise ; RAM:inst3|addr[1] ; -; 19.830 ; 20.050 ; 0.220 ; High Pulse Width ; FPGA_CLK ; Rise ; RAM:inst3|addr[2] ; -; 19.830 ; 20.050 ; 0.220 ; High Pulse Width ; FPGA_CLK ; Rise ; RAM:inst3|addr[3] ; -; 19.830 ; 20.050 ; 0.220 ; High Pulse Width ; FPGA_CLK ; Rise ; RAM:inst3|addr[4] ; -; 19.830 ; 20.050 ; 0.220 ; High Pulse Width ; FPGA_CLK ; Rise ; RAM:inst3|addr[5] ; -; 19.830 ; 20.050 ; 0.220 ; High Pulse Width ; FPGA_CLK ; Rise ; RAM:inst3|addr[6] ; -; 19.830 ; 20.050 ; 0.220 ; High Pulse Width ; FPGA_CLK ; Rise ; RAM:inst3|addr[7] ; -; 19.830 ; 20.050 ; 0.220 ; High Pulse Width ; FPGA_CLK ; Rise ; RAM:inst3|memory_rtl_0_bypass[10] ; -; 19.830 ; 20.050 ; 0.220 ; High Pulse Width ; FPGA_CLK ; Rise ; RAM:inst3|memory_rtl_0_bypass[11] ; -; 19.830 ; 20.050 ; 0.220 ; High Pulse Width ; FPGA_CLK ; Rise ; RAM:inst3|memory_rtl_0_bypass[12] ; -; 19.830 ; 20.050 ; 0.220 ; High Pulse Width ; FPGA_CLK ; Rise ; RAM:inst3|memory_rtl_0_bypass[13] ; -; 19.830 ; 20.050 ; 0.220 ; High Pulse Width ; FPGA_CLK ; Rise ; RAM:inst3|memory_rtl_0_bypass[14] ; -; 19.830 ; 20.050 ; 0.220 ; High Pulse Width ; FPGA_CLK ; Rise ; RAM:inst3|memory_rtl_0_bypass[15] ; -; 19.830 ; 20.050 ; 0.220 ; High Pulse Width ; FPGA_CLK ; Rise ; RAM:inst3|memory_rtl_0_bypass[16] ; -+--------+--------------+----------------+------------------+----------+------------+--------------------------------------------------------------------------------------------------+ ++--------------------------------------------------------------------------------------------------------------+ +; Slow 1200mV 85C Model Minimum Pulse Width: 'FPGA_CLK' ; ++--------+--------------+----------------+-----------------+----------+------------+---------------------------+ +; Slack ; Actual Width ; Required Width ; Type ; Clock ; Clock Edge ; Target ; ++--------+--------------+----------------+-----------------+----------+------------+---------------------------+ +; 19.752 ; 19.940 ; 0.188 ; Low Pulse Width ; FPGA_CLK ; Rise ; RAM:inst1|memory[123][13] ; +; 19.752 ; 19.940 ; 0.188 ; Low Pulse Width ; FPGA_CLK ; Rise ; RAM:inst1|memory[127][17] ; +; 19.752 ; 19.940 ; 0.188 ; Low Pulse Width ; FPGA_CLK ; Rise ; RAM:inst1|memory[133][9] ; +; 19.752 ; 19.940 ; 0.188 ; Low Pulse Width ; FPGA_CLK ; Rise ; RAM:inst1|memory[138][0] ; +; 19.752 ; 19.940 ; 0.188 ; Low Pulse Width ; FPGA_CLK ; Rise ; RAM:inst1|memory[138][17] ; +; 19.752 ; 19.940 ; 0.188 ; Low Pulse Width ; FPGA_CLK ; Rise ; RAM:inst1|memory[138][18] ; +; 19.752 ; 19.940 ; 0.188 ; Low Pulse Width ; FPGA_CLK ; Rise ; RAM:inst1|memory[138][19] ; +; 19.752 ; 19.940 ; 0.188 ; Low Pulse Width ; FPGA_CLK ; Rise ; RAM:inst1|memory[138][1] ; +; 19.752 ; 19.940 ; 0.188 ; Low Pulse Width ; FPGA_CLK ; Rise ; RAM:inst1|memory[138][20] ; +; 19.752 ; 19.940 ; 0.188 ; Low Pulse Width ; FPGA_CLK ; Rise ; RAM:inst1|memory[138][21] ; +; 19.752 ; 19.940 ; 0.188 ; Low Pulse Width ; FPGA_CLK ; Rise ; RAM:inst1|memory[138][22] ; +; 19.752 ; 19.940 ; 0.188 ; Low Pulse Width ; FPGA_CLK ; Rise ; RAM:inst1|memory[138][23] ; +; 19.752 ; 19.940 ; 0.188 ; Low Pulse Width ; FPGA_CLK ; Rise ; RAM:inst1|memory[138][2] ; +; 19.752 ; 19.940 ; 0.188 ; Low Pulse Width ; FPGA_CLK ; Rise ; RAM:inst1|memory[138][3] ; +; 19.752 ; 19.940 ; 0.188 ; Low Pulse Width ; FPGA_CLK ; Rise ; RAM:inst1|memory[138][4] ; +; 19.752 ; 19.940 ; 0.188 ; Low Pulse Width ; FPGA_CLK ; Rise ; RAM:inst1|memory[138][5] ; +; 19.752 ; 19.940 ; 0.188 ; Low Pulse Width ; FPGA_CLK ; Rise ; RAM:inst1|memory[138][6] ; +; 19.752 ; 19.940 ; 0.188 ; Low Pulse Width ; FPGA_CLK ; Rise ; RAM:inst1|memory[138][7] ; +; 19.752 ; 19.940 ; 0.188 ; Low Pulse Width ; FPGA_CLK ; Rise ; RAM:inst1|memory[146][18] ; +; 19.752 ; 19.940 ; 0.188 ; Low Pulse Width ; FPGA_CLK ; Rise ; RAM:inst1|memory[146][20] ; +; 19.752 ; 19.940 ; 0.188 ; Low Pulse Width ; FPGA_CLK ; Rise ; RAM:inst1|memory[146][2] ; +; 19.752 ; 19.940 ; 0.188 ; Low Pulse Width ; FPGA_CLK ; Rise ; RAM:inst1|memory[146][7] ; +; 19.752 ; 19.940 ; 0.188 ; Low Pulse Width ; FPGA_CLK ; Rise ; RAM:inst1|memory[150][21] ; +; 19.752 ; 19.940 ; 0.188 ; Low Pulse Width ; FPGA_CLK ; Rise ; RAM:inst1|memory[150][22] ; +; 19.752 ; 19.940 ; 0.188 ; Low Pulse Width ; FPGA_CLK ; Rise ; RAM:inst1|memory[156][17] ; +; 19.752 ; 19.940 ; 0.188 ; Low Pulse Width ; FPGA_CLK ; Rise ; RAM:inst1|memory[156][18] ; +; 19.752 ; 19.940 ; 0.188 ; Low Pulse Width ; FPGA_CLK ; Rise ; RAM:inst1|memory[156][19] ; +; 19.752 ; 19.940 ; 0.188 ; Low Pulse Width ; FPGA_CLK ; Rise ; RAM:inst1|memory[156][20] ; +; 19.752 ; 19.940 ; 0.188 ; Low Pulse Width ; FPGA_CLK ; Rise ; RAM:inst1|memory[156][21] ; +; 19.752 ; 19.940 ; 0.188 ; Low Pulse Width ; FPGA_CLK ; Rise ; RAM:inst1|memory[156][22] ; +; 19.752 ; 19.940 ; 0.188 ; Low Pulse Width ; FPGA_CLK ; Rise ; RAM:inst1|memory[156][23] ; +; 19.752 ; 19.940 ; 0.188 ; Low Pulse Width ; FPGA_CLK ; Rise ; RAM:inst1|memory[157][16] ; +; 19.752 ; 19.940 ; 0.188 ; Low Pulse Width ; FPGA_CLK ; Rise ; RAM:inst1|memory[159][16] ; +; 19.752 ; 19.940 ; 0.188 ; Low Pulse Width ; FPGA_CLK ; Rise ; RAM:inst1|memory[159][17] ; +; 19.752 ; 19.940 ; 0.188 ; Low Pulse Width ; FPGA_CLK ; Rise ; RAM:inst1|memory[159][18] ; +; 19.752 ; 19.940 ; 0.188 ; Low Pulse Width ; FPGA_CLK ; Rise ; RAM:inst1|memory[159][19] ; +; 19.752 ; 19.940 ; 0.188 ; Low Pulse Width ; FPGA_CLK ; Rise ; RAM:inst1|memory[159][20] ; +; 19.752 ; 19.940 ; 0.188 ; Low Pulse Width ; FPGA_CLK ; Rise ; RAM:inst1|memory[159][21] ; +; 19.752 ; 19.940 ; 0.188 ; Low Pulse Width ; FPGA_CLK ; Rise ; RAM:inst1|memory[159][22] ; +; 19.752 ; 19.940 ; 0.188 ; Low Pulse Width ; FPGA_CLK ; Rise ; RAM:inst1|memory[159][23] ; +; 19.752 ; 19.940 ; 0.188 ; Low Pulse Width ; FPGA_CLK ; Rise ; RAM:inst1|memory[174][1] ; +; 19.752 ; 19.940 ; 0.188 ; Low Pulse Width ; FPGA_CLK ; Rise ; RAM:inst1|memory[174][2] ; +; 19.752 ; 19.940 ; 0.188 ; Low Pulse Width ; FPGA_CLK ; Rise ; RAM:inst1|memory[174][3] ; +; 19.752 ; 19.940 ; 0.188 ; Low Pulse Width ; FPGA_CLK ; Rise ; RAM:inst1|memory[174][4] ; +; 19.752 ; 19.940 ; 0.188 ; Low Pulse Width ; FPGA_CLK ; Rise ; RAM:inst1|memory[174][5] ; +; 19.752 ; 19.940 ; 0.188 ; Low Pulse Width ; FPGA_CLK ; Rise ; RAM:inst1|memory[174][6] ; +; 19.752 ; 19.940 ; 0.188 ; Low Pulse Width ; FPGA_CLK ; Rise ; RAM:inst1|memory[174][7] ; +; 19.752 ; 19.940 ; 0.188 ; Low Pulse Width ; FPGA_CLK ; Rise ; RAM:inst1|memory[182][16] ; +; 19.752 ; 19.940 ; 0.188 ; Low Pulse Width ; FPGA_CLK ; Rise ; RAM:inst1|memory[182][17] ; +; 19.752 ; 19.940 ; 0.188 ; Low Pulse Width ; FPGA_CLK ; Rise ; RAM:inst1|memory[182][18] ; +; 19.752 ; 19.940 ; 0.188 ; Low Pulse Width ; FPGA_CLK ; Rise ; RAM:inst1|memory[182][19] ; +; 19.752 ; 19.940 ; 0.188 ; Low Pulse Width ; FPGA_CLK ; Rise ; RAM:inst1|memory[182][20] ; +; 19.752 ; 19.940 ; 0.188 ; Low Pulse Width ; FPGA_CLK ; Rise ; RAM:inst1|memory[182][21] ; +; 19.752 ; 19.940 ; 0.188 ; Low Pulse Width ; FPGA_CLK ; Rise ; RAM:inst1|memory[182][22] ; +; 19.752 ; 19.940 ; 0.188 ; Low Pulse Width ; FPGA_CLK ; Rise ; RAM:inst1|memory[182][23] ; +; 19.752 ; 19.940 ; 0.188 ; Low Pulse Width ; FPGA_CLK ; Rise ; RAM:inst1|memory[186][23] ; +; 19.752 ; 19.940 ; 0.188 ; Low Pulse Width ; FPGA_CLK ; Rise ; RAM:inst1|memory[18][9] ; +; 19.752 ; 19.940 ; 0.188 ; Low Pulse Width ; FPGA_CLK ; Rise ; RAM:inst1|memory[190][0] ; +; 19.752 ; 19.940 ; 0.188 ; Low Pulse Width ; FPGA_CLK ; Rise ; RAM:inst1|memory[190][1] ; +; 19.752 ; 19.940 ; 0.188 ; Low Pulse Width ; FPGA_CLK ; Rise ; RAM:inst1|memory[190][2] ; +; 19.752 ; 19.940 ; 0.188 ; Low Pulse Width ; FPGA_CLK ; Rise ; RAM:inst1|memory[190][3] ; +; 19.752 ; 19.940 ; 0.188 ; Low Pulse Width ; FPGA_CLK ; Rise ; RAM:inst1|memory[190][4] ; +; 19.752 ; 19.940 ; 0.188 ; Low Pulse Width ; FPGA_CLK ; Rise ; RAM:inst1|memory[190][5] ; +; 19.752 ; 19.940 ; 0.188 ; Low Pulse Width ; FPGA_CLK ; Rise ; RAM:inst1|memory[190][6] ; +; 19.752 ; 19.940 ; 0.188 ; Low Pulse Width ; FPGA_CLK ; Rise ; RAM:inst1|memory[190][7] ; +; 19.752 ; 19.940 ; 0.188 ; Low Pulse Width ; FPGA_CLK ; Rise ; RAM:inst1|memory[208][16] ; +; 19.752 ; 19.940 ; 0.188 ; Low Pulse Width ; FPGA_CLK ; Rise ; RAM:inst1|memory[208][17] ; +; 19.752 ; 19.940 ; 0.188 ; Low Pulse Width ; FPGA_CLK ; Rise ; RAM:inst1|memory[208][18] ; +; 19.752 ; 19.940 ; 0.188 ; Low Pulse Width ; FPGA_CLK ; Rise ; RAM:inst1|memory[208][19] ; +; 19.752 ; 19.940 ; 0.188 ; Low Pulse Width ; FPGA_CLK ; Rise ; RAM:inst1|memory[208][1] ; +; 19.752 ; 19.940 ; 0.188 ; Low Pulse Width ; FPGA_CLK ; Rise ; RAM:inst1|memory[208][20] ; +; 19.752 ; 19.940 ; 0.188 ; Low Pulse Width ; FPGA_CLK ; Rise ; RAM:inst1|memory[208][21] ; +; 19.752 ; 19.940 ; 0.188 ; Low Pulse Width ; FPGA_CLK ; Rise ; RAM:inst1|memory[208][22] ; +; 19.752 ; 19.940 ; 0.188 ; Low Pulse Width ; FPGA_CLK ; Rise ; RAM:inst1|memory[208][23] ; +; 19.752 ; 19.940 ; 0.188 ; Low Pulse Width ; FPGA_CLK ; Rise ; RAM:inst1|memory[208][25] ; +; 19.752 ; 19.940 ; 0.188 ; Low Pulse Width ; FPGA_CLK ; Rise ; RAM:inst1|memory[208][26] ; +; 19.752 ; 19.940 ; 0.188 ; Low Pulse Width ; FPGA_CLK ; Rise ; RAM:inst1|memory[208][27] ; +; 19.752 ; 19.940 ; 0.188 ; Low Pulse Width ; FPGA_CLK ; Rise ; RAM:inst1|memory[208][28] ; +; 19.752 ; 19.940 ; 0.188 ; Low Pulse Width ; FPGA_CLK ; Rise ; RAM:inst1|memory[208][29] ; +; 19.752 ; 19.940 ; 0.188 ; Low Pulse Width ; FPGA_CLK ; Rise ; RAM:inst1|memory[208][2] ; +; 19.752 ; 19.940 ; 0.188 ; Low Pulse Width ; FPGA_CLK ; Rise ; RAM:inst1|memory[208][30] ; +; 19.752 ; 19.940 ; 0.188 ; Low Pulse Width ; FPGA_CLK ; Rise ; RAM:inst1|memory[208][31] ; +; 19.752 ; 19.940 ; 0.188 ; Low Pulse Width ; FPGA_CLK ; Rise ; RAM:inst1|memory[208][3] ; +; 19.752 ; 19.940 ; 0.188 ; Low Pulse Width ; FPGA_CLK ; Rise ; RAM:inst1|memory[208][4] ; +; 19.752 ; 19.940 ; 0.188 ; Low Pulse Width ; FPGA_CLK ; Rise ; RAM:inst1|memory[208][5] ; +; 19.752 ; 19.940 ; 0.188 ; Low Pulse Width ; FPGA_CLK ; Rise ; RAM:inst1|memory[208][6] ; +; 19.752 ; 19.940 ; 0.188 ; Low Pulse Width ; FPGA_CLK ; Rise ; RAM:inst1|memory[208][7] ; +; 19.752 ; 19.940 ; 0.188 ; Low Pulse Width ; FPGA_CLK ; Rise ; RAM:inst1|memory[209][16] ; +; 19.752 ; 19.940 ; 0.188 ; Low Pulse Width ; FPGA_CLK ; Rise ; RAM:inst1|memory[209][17] ; +; 19.752 ; 19.940 ; 0.188 ; Low Pulse Width ; FPGA_CLK ; Rise ; RAM:inst1|memory[209][18] ; +; 19.752 ; 19.940 ; 0.188 ; Low Pulse Width ; FPGA_CLK ; Rise ; RAM:inst1|memory[209][19] ; +; 19.752 ; 19.940 ; 0.188 ; Low Pulse Width ; FPGA_CLK ; Rise ; RAM:inst1|memory[20][4] ; +; 19.752 ; 19.940 ; 0.188 ; Low Pulse Width ; FPGA_CLK ; Rise ; RAM:inst1|memory[211][1] ; +; 19.752 ; 19.940 ; 0.188 ; Low Pulse Width ; FPGA_CLK ; Rise ; RAM:inst1|memory[213][16] ; +; 19.752 ; 19.940 ; 0.188 ; Low Pulse Width ; FPGA_CLK ; Rise ; RAM:inst1|memory[213][17] ; +; 19.752 ; 19.940 ; 0.188 ; Low Pulse Width ; FPGA_CLK ; Rise ; RAM:inst1|memory[213][18] ; +; 19.752 ; 19.940 ; 0.188 ; Low Pulse Width ; FPGA_CLK ; Rise ; RAM:inst1|memory[213][19] ; +; 19.752 ; 19.940 ; 0.188 ; Low Pulse Width ; FPGA_CLK ; Rise ; RAM:inst1|memory[213][20] ; +; 19.752 ; 19.940 ; 0.188 ; Low Pulse Width ; FPGA_CLK ; Rise ; RAM:inst1|memory[213][21] ; +; 19.752 ; 19.940 ; 0.188 ; Low Pulse Width ; FPGA_CLK ; Rise ; RAM:inst1|memory[213][22] ; ++--------+--------------+----------------+-----------------+----------+------------+---------------------------+ -+-------------------------------------------------------------------------+ -; Setup Times ; -+-------------+------------+-------+-------+------------+-----------------+ -; Data Port ; Clock Port ; Rise ; Fall ; Clock Edge ; Clock Reference ; -+-------------+------------+-------+-------+------------+-----------------+ -; Address[*] ; FPGA_CLK ; 4.276 ; 4.467 ; Rise ; FPGA_CLK ; -; Address[0] ; FPGA_CLK ; 3.265 ; 3.408 ; Rise ; FPGA_CLK ; -; Address[1] ; FPGA_CLK ; 3.595 ; 3.838 ; Rise ; FPGA_CLK ; -; Address[2] ; FPGA_CLK ; 3.758 ; 4.009 ; Rise ; FPGA_CLK ; -; Address[3] ; FPGA_CLK ; 3.867 ; 4.116 ; Rise ; FPGA_CLK ; -; Address[4] ; FPGA_CLK ; 4.197 ; 4.467 ; Rise ; FPGA_CLK ; -; Address[5] ; FPGA_CLK ; 4.276 ; 4.446 ; Rise ; FPGA_CLK ; -; Address[6] ; FPGA_CLK ; 3.546 ; 3.843 ; Rise ; FPGA_CLK ; -; Address[7] ; FPGA_CLK ; 3.041 ; 3.255 ; Rise ; FPGA_CLK ; -; Data[*] ; FPGA_CLK ; 2.611 ; 2.949 ; Rise ; FPGA_CLK ; -; Data[0] ; FPGA_CLK ; 2.367 ; 2.631 ; Rise ; FPGA_CLK ; -; Data[1] ; FPGA_CLK ; 2.611 ; 2.949 ; Rise ; FPGA_CLK ; -; Data[2] ; FPGA_CLK ; 2.576 ; 2.925 ; Rise ; FPGA_CLK ; -; Data[3] ; FPGA_CLK ; 2.580 ; 2.915 ; Rise ; FPGA_CLK ; -; Data[4] ; FPGA_CLK ; 2.384 ; 2.721 ; Rise ; FPGA_CLK ; -; Data[5] ; FPGA_CLK ; 2.368 ; 2.710 ; Rise ; FPGA_CLK ; -; Data[6] ; FPGA_CLK ; 2.314 ; 2.657 ; Rise ; FPGA_CLK ; -; Data[7] ; FPGA_CLK ; 2.351 ; 2.677 ; Rise ; FPGA_CLK ; -; nCE ; FPGA_CLK ; 6.739 ; 6.730 ; Rise ; FPGA_CLK ; -; nOE ; FPGA_CLK ; 5.549 ; 5.716 ; Rise ; FPGA_CLK ; -; nWE ; FPGA_CLK ; 5.354 ; 5.775 ; Rise ; FPGA_CLK ; -+-------------+------------+-------+-------+------------+-----------------+ ++---------------------------------------------------------------------------+ +; Setup Times ; ++-------------+------------+--------+--------+------------+-----------------+ +; Data Port ; Clock Port ; Rise ; Fall ; Clock Edge ; Clock Reference ; ++-------------+------------+--------+--------+------------+-----------------+ +; Address[*] ; FPGA_CLK ; 4.255 ; 4.279 ; Rise ; FPGA_CLK ; +; Address[0] ; FPGA_CLK ; 2.200 ; 2.579 ; Rise ; FPGA_CLK ; +; Address[1] ; FPGA_CLK ; 4.255 ; 4.279 ; Rise ; FPGA_CLK ; +; Address[2] ; FPGA_CLK ; 2.916 ; 3.257 ; Rise ; FPGA_CLK ; +; Address[3] ; FPGA_CLK ; 2.940 ; 3.235 ; Rise ; FPGA_CLK ; +; Address[4] ; FPGA_CLK ; 2.451 ; 2.736 ; Rise ; FPGA_CLK ; +; Address[5] ; FPGA_CLK ; 2.757 ; 3.152 ; Rise ; FPGA_CLK ; +; Address[6] ; FPGA_CLK ; 3.819 ; 4.055 ; Rise ; FPGA_CLK ; +; Address[7] ; FPGA_CLK ; 2.399 ; 2.705 ; Rise ; FPGA_CLK ; +; Data[*] ; FPGA_CLK ; 10.057 ; 9.754 ; Rise ; FPGA_CLK ; +; Data[0] ; FPGA_CLK ; 7.039 ; 6.985 ; Rise ; FPGA_CLK ; +; Data[1] ; FPGA_CLK ; 7.351 ; 7.436 ; Rise ; FPGA_CLK ; +; Data[2] ; FPGA_CLK ; 10.057 ; 9.754 ; Rise ; FPGA_CLK ; +; Data[3] ; FPGA_CLK ; 9.945 ; 9.698 ; Rise ; FPGA_CLK ; +; Data[4] ; FPGA_CLK ; 8.109 ; 7.930 ; Rise ; FPGA_CLK ; +; Data[5] ; FPGA_CLK ; 6.132 ; 6.329 ; Rise ; FPGA_CLK ; +; Data[6] ; FPGA_CLK ; 8.876 ; 8.811 ; Rise ; FPGA_CLK ; +; Data[7] ; FPGA_CLK ; 9.270 ; 9.219 ; Rise ; FPGA_CLK ; +; nBL[*] ; FPGA_CLK ; 2.537 ; 2.777 ; Rise ; FPGA_CLK ; +; nBL[0] ; FPGA_CLK ; 2.168 ; 2.442 ; Rise ; FPGA_CLK ; +; nBL[1] ; FPGA_CLK ; 2.537 ; 2.777 ; Rise ; FPGA_CLK ; +; nCE ; FPGA_CLK ; 6.683 ; 7.591 ; Rise ; FPGA_CLK ; +; nOE ; FPGA_CLK ; 3.302 ; 3.603 ; Rise ; FPGA_CLK ; +; nWE ; FPGA_CLK ; 14.913 ; 15.921 ; Rise ; FPGA_CLK ; ++-------------+------------+--------+--------+------------+-----------------+ +---------------------------------------------------------------------------+ @@ -556,50 +560,53 @@ No paths to report. +-------------+------------+--------+--------+------------+-----------------+ ; Data Port ; Clock Port ; Rise ; Fall ; Clock Edge ; Clock Reference ; +-------------+------------+--------+--------+------------+-----------------+ -; Address[*] ; FPGA_CLK ; -1.818 ; -2.116 ; Rise ; FPGA_CLK ; -; Address[0] ; FPGA_CLK ; -1.985 ; -2.228 ; Rise ; FPGA_CLK ; -; Address[1] ; FPGA_CLK ; -2.253 ; -2.575 ; Rise ; FPGA_CLK ; -; Address[2] ; FPGA_CLK ; -2.425 ; -2.773 ; Rise ; FPGA_CLK ; -; Address[3] ; FPGA_CLK ; -2.520 ; -2.839 ; Rise ; FPGA_CLK ; -; Address[4] ; FPGA_CLK ; -2.118 ; -2.492 ; Rise ; FPGA_CLK ; -; Address[5] ; FPGA_CLK ; -2.399 ; -2.691 ; Rise ; FPGA_CLK ; -; Address[6] ; FPGA_CLK ; -2.207 ; -2.527 ; Rise ; FPGA_CLK ; -; Address[7] ; FPGA_CLK ; -1.818 ; -2.116 ; Rise ; FPGA_CLK ; -; Data[*] ; FPGA_CLK ; -1.154 ; -1.422 ; Rise ; FPGA_CLK ; -; Data[0] ; FPGA_CLK ; -1.154 ; -1.422 ; Rise ; FPGA_CLK ; -; Data[1] ; FPGA_CLK ; -1.302 ; -1.642 ; Rise ; FPGA_CLK ; -; Data[2] ; FPGA_CLK ; -1.611 ; -1.913 ; Rise ; FPGA_CLK ; -; Data[3] ; FPGA_CLK ; -1.577 ; -1.881 ; Rise ; FPGA_CLK ; -; Data[4] ; FPGA_CLK ; -1.251 ; -1.594 ; Rise ; FPGA_CLK ; -; Data[5] ; FPGA_CLK ; -1.257 ; -1.614 ; Rise ; FPGA_CLK ; -; Data[6] ; FPGA_CLK ; -1.251 ; -1.595 ; Rise ; FPGA_CLK ; -; Data[7] ; FPGA_CLK ; -1.565 ; -1.858 ; Rise ; FPGA_CLK ; -; nCE ; FPGA_CLK ; -1.930 ; -2.329 ; Rise ; FPGA_CLK ; -; nOE ; FPGA_CLK ; -2.141 ; -2.437 ; Rise ; FPGA_CLK ; -; nWE ; FPGA_CLK ; -1.920 ; -2.176 ; Rise ; FPGA_CLK ; +; Address[*] ; FPGA_CLK ; -1.723 ; -2.089 ; Rise ; FPGA_CLK ; +; Address[0] ; FPGA_CLK ; -1.723 ; -2.089 ; Rise ; FPGA_CLK ; +; Address[1] ; FPGA_CLK ; -3.696 ; -3.721 ; Rise ; FPGA_CLK ; +; Address[2] ; FPGA_CLK ; -2.410 ; -2.741 ; Rise ; FPGA_CLK ; +; Address[3] ; FPGA_CLK ; -2.434 ; -2.720 ; Rise ; FPGA_CLK ; +; Address[4] ; FPGA_CLK ; -1.965 ; -2.242 ; Rise ; FPGA_CLK ; +; Address[5] ; FPGA_CLK ; -2.259 ; -2.641 ; Rise ; FPGA_CLK ; +; Address[6] ; FPGA_CLK ; -3.277 ; -3.506 ; Rise ; FPGA_CLK ; +; Address[7] ; FPGA_CLK ; -1.913 ; -2.210 ; Rise ; FPGA_CLK ; +; Data[*] ; FPGA_CLK ; -1.097 ; -1.396 ; Rise ; FPGA_CLK ; +; Data[0] ; FPGA_CLK ; -1.197 ; -1.483 ; Rise ; FPGA_CLK ; +; Data[1] ; FPGA_CLK ; -1.097 ; -1.396 ; Rise ; FPGA_CLK ; +; Data[2] ; FPGA_CLK ; -1.475 ; -1.794 ; Rise ; FPGA_CLK ; +; Data[3] ; FPGA_CLK ; -1.317 ; -1.657 ; Rise ; FPGA_CLK ; +; Data[4] ; FPGA_CLK ; -1.143 ; -1.436 ; Rise ; FPGA_CLK ; +; Data[5] ; FPGA_CLK ; -1.467 ; -1.731 ; Rise ; FPGA_CLK ; +; Data[6] ; FPGA_CLK ; -1.172 ; -1.475 ; Rise ; FPGA_CLK ; +; Data[7] ; FPGA_CLK ; -1.154 ; -1.446 ; Rise ; FPGA_CLK ; +; nBL[*] ; FPGA_CLK ; -1.692 ; -1.955 ; Rise ; FPGA_CLK ; +; nBL[0] ; FPGA_CLK ; -1.692 ; -1.955 ; Rise ; FPGA_CLK ; +; nBL[1] ; FPGA_CLK ; -2.047 ; -2.277 ; Rise ; FPGA_CLK ; +; nCE ; FPGA_CLK ; -1.055 ; -1.404 ; Rise ; FPGA_CLK ; +; nOE ; FPGA_CLK ; -1.321 ; -1.652 ; Rise ; FPGA_CLK ; +; nWE ; FPGA_CLK ; -1.314 ; -1.639 ; Rise ; FPGA_CLK ; +-------------+------------+--------+--------+------------+-----------------+ -+---------------------------------------------------------------------------------------------------------+ -; Clock to Output Times ; -+------------+------------+-------+-------+------------+--------------------------------------------------+ -; Data Port ; Clock Port ; Rise ; Fall ; Clock Edge ; Clock Reference ; -+------------+------------+-------+-------+------------+--------------------------------------------------+ -; Data[*] ; FPGA_CLK ; 9.467 ; 8.950 ; Rise ; FPGA_CLK ; -; Data[0] ; FPGA_CLK ; 7.009 ; 6.766 ; Rise ; FPGA_CLK ; -; Data[1] ; FPGA_CLK ; 7.283 ; 6.997 ; Rise ; FPGA_CLK ; -; Data[2] ; FPGA_CLK ; 7.398 ; 7.139 ; Rise ; FPGA_CLK ; -; Data[3] ; FPGA_CLK ; 7.316 ; 7.015 ; Rise ; FPGA_CLK ; -; Data[4] ; FPGA_CLK ; 8.372 ; 8.094 ; Rise ; FPGA_CLK ; -; Data[5] ; FPGA_CLK ; 8.254 ; 7.936 ; Rise ; FPGA_CLK ; -; Data[6] ; FPGA_CLK ; 9.467 ; 8.950 ; Rise ; FPGA_CLK ; -; Data[7] ; FPGA_CLK ; 7.543 ; 7.354 ; Rise ; FPGA_CLK ; -; FPGA_LED_1 ; FPGA_CLK ; 7.494 ; 7.753 ; Rise ; FPGA_CLK ; -; FPGA_LED_2 ; FPGA_CLK ; 2.701 ; ; Rise ; inst|altpll_component|auto_generated|pll1|clk[0] ; -; FPGA_LED_2 ; FPGA_CLK ; ; 2.542 ; Fall ; inst|altpll_component|auto_generated|pll1|clk[0] ; -; FPGA_LED_3 ; FPGA_CLK ; 2.700 ; ; Rise ; inst|altpll_component|auto_generated|pll1|clk[1] ; -; FPGA_LED_3 ; FPGA_CLK ; ; 2.541 ; Fall ; inst|altpll_component|auto_generated|pll1|clk[1] ; -+------------+------------+-------+-------+------------+--------------------------------------------------+ ++----------------------------------------------------------------------------------------------------------+ +; Clock to Output Times ; ++------------+------------+--------+-------+------------+--------------------------------------------------+ +; Data Port ; Clock Port ; Rise ; Fall ; Clock Edge ; Clock Reference ; ++------------+------------+--------+-------+------------+--------------------------------------------------+ +; Data[*] ; FPGA_CLK ; 10.042 ; 9.410 ; Rise ; FPGA_CLK ; +; Data[0] ; FPGA_CLK ; 7.478 ; 7.205 ; Rise ; FPGA_CLK ; +; Data[1] ; FPGA_CLK ; 7.814 ; 7.579 ; Rise ; FPGA_CLK ; +; Data[2] ; FPGA_CLK ; 9.038 ; 8.648 ; Rise ; FPGA_CLK ; +; Data[3] ; FPGA_CLK ; 7.799 ; 7.547 ; Rise ; FPGA_CLK ; +; Data[4] ; FPGA_CLK ; 8.850 ; 8.486 ; Rise ; FPGA_CLK ; +; Data[5] ; FPGA_CLK ; 8.771 ; 8.404 ; Rise ; FPGA_CLK ; +; Data[6] ; FPGA_CLK ; 10.042 ; 9.410 ; Rise ; FPGA_CLK ; +; Data[7] ; FPGA_CLK ; 7.263 ; 7.066 ; Rise ; FPGA_CLK ; +; FPGA_LED_1 ; FPGA_CLK ; 5.985 ; 6.095 ; Rise ; FPGA_CLK ; +; FPGA_LED_2 ; FPGA_CLK ; 2.701 ; ; Rise ; inst|altpll_component|auto_generated|pll1|clk[0] ; +; FPGA_LED_2 ; FPGA_CLK ; ; 2.542 ; Fall ; inst|altpll_component|auto_generated|pll1|clk[0] ; +; FPGA_LED_3 ; FPGA_CLK ; 2.700 ; ; Rise ; inst|altpll_component|auto_generated|pll1|clk[1] ; +; FPGA_LED_3 ; FPGA_CLK ; ; 2.541 ; Fall ; inst|altpll_component|auto_generated|pll1|clk[1] ; ++------------+------------+--------+-------+------------+--------------------------------------------------+ +---------------------------------------------------------------------------------------------------------+ @@ -607,16 +614,16 @@ No paths to report. +------------+------------+-------+-------+------------+--------------------------------------------------+ ; Data Port ; Clock Port ; Rise ; Fall ; Clock Edge ; Clock Reference ; +------------+------------+-------+-------+------------+--------------------------------------------------+ -; Data[*] ; FPGA_CLK ; 6.845 ; 6.608 ; Rise ; FPGA_CLK ; -; Data[0] ; FPGA_CLK ; 6.845 ; 6.608 ; Rise ; FPGA_CLK ; -; Data[1] ; FPGA_CLK ; 7.110 ; 6.830 ; Rise ; FPGA_CLK ; -; Data[2] ; FPGA_CLK ; 7.219 ; 6.966 ; Rise ; FPGA_CLK ; -; Data[3] ; FPGA_CLK ; 7.141 ; 6.848 ; Rise ; FPGA_CLK ; -; Data[4] ; FPGA_CLK ; 8.154 ; 7.882 ; Rise ; FPGA_CLK ; -; Data[5] ; FPGA_CLK ; 8.040 ; 7.730 ; Rise ; FPGA_CLK ; -; Data[6] ; FPGA_CLK ; 9.294 ; 8.778 ; Rise ; FPGA_CLK ; -; Data[7] ; FPGA_CLK ; 7.358 ; 7.172 ; Rise ; FPGA_CLK ; -; FPGA_LED_1 ; FPGA_CLK ; 7.306 ; 7.558 ; Rise ; FPGA_CLK ; +; Data[*] ; FPGA_CLK ; 7.089 ; 6.895 ; Rise ; FPGA_CLK ; +; Data[0] ; FPGA_CLK ; 7.296 ; 7.029 ; Rise ; FPGA_CLK ; +; Data[1] ; FPGA_CLK ; 7.620 ; 7.389 ; Rise ; FPGA_CLK ; +; Data[2] ; FPGA_CLK ; 8.794 ; 8.414 ; Rise ; FPGA_CLK ; +; Data[3] ; FPGA_CLK ; 7.605 ; 7.358 ; Rise ; FPGA_CLK ; +; Data[4] ; FPGA_CLK ; 8.614 ; 8.260 ; Rise ; FPGA_CLK ; +; Data[5] ; FPGA_CLK ; 8.537 ; 8.180 ; Rise ; FPGA_CLK ; +; Data[6] ; FPGA_CLK ; 9.847 ; 9.221 ; Rise ; FPGA_CLK ; +; Data[7] ; FPGA_CLK ; 7.089 ; 6.895 ; Rise ; FPGA_CLK ; +; FPGA_LED_1 ; FPGA_CLK ; 5.857 ; 5.966 ; Rise ; FPGA_CLK ; ; FPGA_LED_2 ; FPGA_CLK ; 2.230 ; ; Rise ; inst|altpll_component|auto_generated|pll1|clk[0] ; ; FPGA_LED_2 ; FPGA_CLK ; ; 2.073 ; Fall ; inst|altpll_component|auto_generated|pll1|clk[0] ; ; FPGA_LED_3 ; FPGA_CLK ; 2.229 ; ; Rise ; inst|altpll_component|auto_generated|pll1|clk[1] ; @@ -629,15 +636,15 @@ No paths to report. +-----------+------------+-------+-------+------------+-----------------+ ; Data Port ; Clock Port ; Rise ; Fall ; Clock Edge ; Clock Reference ; +-----------+------------+-------+-------+------------+-----------------+ -; Data[*] ; FPGA_CLK ; 7.139 ; 7.139 ; Rise ; FPGA_CLK ; -; Data[0] ; FPGA_CLK ; 7.139 ; 7.139 ; Rise ; FPGA_CLK ; -; Data[1] ; FPGA_CLK ; 7.496 ; 7.496 ; Rise ; FPGA_CLK ; -; Data[2] ; FPGA_CLK ; 7.439 ; 7.439 ; Rise ; FPGA_CLK ; -; Data[3] ; FPGA_CLK ; 7.482 ; 7.482 ; Rise ; FPGA_CLK ; -; Data[4] ; FPGA_CLK ; 8.096 ; 8.096 ; Rise ; FPGA_CLK ; -; Data[5] ; FPGA_CLK ; 8.123 ; 8.123 ; Rise ; FPGA_CLK ; -; Data[6] ; FPGA_CLK ; 7.745 ; 7.745 ; Rise ; FPGA_CLK ; -; Data[7] ; FPGA_CLK ; 7.864 ; 7.864 ; Rise ; FPGA_CLK ; +; Data[*] ; FPGA_CLK ; 7.030 ; 7.030 ; Rise ; FPGA_CLK ; +; Data[0] ; FPGA_CLK ; 7.933 ; 7.933 ; Rise ; FPGA_CLK ; +; Data[1] ; FPGA_CLK ; 8.518 ; 8.518 ; Rise ; FPGA_CLK ; +; Data[2] ; FPGA_CLK ; 9.182 ; 9.182 ; Rise ; FPGA_CLK ; +; Data[3] ; FPGA_CLK ; 7.558 ; 7.558 ; Rise ; FPGA_CLK ; +; Data[4] ; FPGA_CLK ; 7.030 ; 7.030 ; Rise ; FPGA_CLK ; +; Data[5] ; FPGA_CLK ; 7.081 ; 7.081 ; Rise ; FPGA_CLK ; +; Data[6] ; FPGA_CLK ; 8.842 ; 8.842 ; Rise ; FPGA_CLK ; +; Data[7] ; FPGA_CLK ; 7.824 ; 7.824 ; Rise ; FPGA_CLK ; +-----------+------------+-------+-------+------------+-----------------+ @@ -646,15 +653,15 @@ No paths to report. +-----------+------------+-------+-------+------------+-----------------+ ; Data Port ; Clock Port ; Rise ; Fall ; Clock Edge ; Clock Reference ; +-----------+------------+-------+-------+------------+-----------------+ -; Data[*] ; FPGA_CLK ; 6.449 ; 6.495 ; Rise ; FPGA_CLK ; -; Data[0] ; FPGA_CLK ; 6.449 ; 6.495 ; Rise ; FPGA_CLK ; -; Data[1] ; FPGA_CLK ; 6.792 ; 6.838 ; Rise ; FPGA_CLK ; -; Data[2] ; FPGA_CLK ; 6.738 ; 6.784 ; Rise ; FPGA_CLK ; -; Data[3] ; FPGA_CLK ; 6.779 ; 6.825 ; Rise ; FPGA_CLK ; -; Data[4] ; FPGA_CLK ; 7.367 ; 7.413 ; Rise ; FPGA_CLK ; -; Data[5] ; FPGA_CLK ; 7.394 ; 7.440 ; Rise ; FPGA_CLK ; -; Data[6] ; FPGA_CLK ; 7.031 ; 7.077 ; Rise ; FPGA_CLK ; -; Data[7] ; FPGA_CLK ; 7.145 ; 7.191 ; Rise ; FPGA_CLK ; +; Data[*] ; FPGA_CLK ; 6.343 ; 6.389 ; Rise ; FPGA_CLK ; +; Data[0] ; FPGA_CLK ; 7.211 ; 7.257 ; Rise ; FPGA_CLK ; +; Data[1] ; FPGA_CLK ; 7.773 ; 7.819 ; Rise ; FPGA_CLK ; +; Data[2] ; FPGA_CLK ; 8.411 ; 8.457 ; Rise ; FPGA_CLK ; +; Data[3] ; FPGA_CLK ; 6.851 ; 6.897 ; Rise ; FPGA_CLK ; +; Data[4] ; FPGA_CLK ; 6.343 ; 6.389 ; Rise ; FPGA_CLK ; +; Data[5] ; FPGA_CLK ; 6.392 ; 6.438 ; Rise ; FPGA_CLK ; +; Data[6] ; FPGA_CLK ; 8.083 ; 8.129 ; Rise ; FPGA_CLK ; +; Data[7] ; FPGA_CLK ; 7.106 ; 7.152 ; Rise ; FPGA_CLK ; +-----------+------------+-------+-------+------------+-----------------+ @@ -663,15 +670,15 @@ No paths to report. +-----------+------------+-----------+-----------+------------+-----------------+ ; Data Port ; Clock Port ; 0 to Hi-Z ; 1 to Hi-Z ; Clock Edge ; Clock Reference ; +-----------+------------+-----------+-----------+------------+-----------------+ -; Data[*] ; FPGA_CLK ; 6.753 ; 7.013 ; Rise ; FPGA_CLK ; -; Data[0] ; FPGA_CLK ; 6.753 ; 7.013 ; Rise ; FPGA_CLK ; -; Data[1] ; FPGA_CLK ; 7.029 ; 7.289 ; Rise ; FPGA_CLK ; -; Data[2] ; FPGA_CLK ; 6.990 ; 7.250 ; Rise ; FPGA_CLK ; -; Data[3] ; FPGA_CLK ; 7.010 ; 7.270 ; Rise ; FPGA_CLK ; -; Data[4] ; FPGA_CLK ; 7.705 ; 7.965 ; Rise ; FPGA_CLK ; -; Data[5] ; FPGA_CLK ; 7.724 ; 7.984 ; Rise ; FPGA_CLK ; -; Data[6] ; FPGA_CLK ; 7.386 ; 7.646 ; Rise ; FPGA_CLK ; -; Data[7] ; FPGA_CLK ; 7.419 ; 7.679 ; Rise ; FPGA_CLK ; +; Data[*] ; FPGA_CLK ; 6.706 ; 6.966 ; Rise ; FPGA_CLK ; +; Data[0] ; FPGA_CLK ; 7.594 ; 7.854 ; Rise ; FPGA_CLK ; +; Data[1] ; FPGA_CLK ; 8.093 ; 8.353 ; Rise ; FPGA_CLK ; +; Data[2] ; FPGA_CLK ; 8.623 ; 8.883 ; Rise ; FPGA_CLK ; +; Data[3] ; FPGA_CLK ; 7.170 ; 7.430 ; Rise ; FPGA_CLK ; +; Data[4] ; FPGA_CLK ; 6.706 ; 6.966 ; Rise ; FPGA_CLK ; +; Data[5] ; FPGA_CLK ; 6.778 ; 7.038 ; Rise ; FPGA_CLK ; +; Data[6] ; FPGA_CLK ; 8.396 ; 8.656 ; Rise ; FPGA_CLK ; +; Data[7] ; FPGA_CLK ; 7.489 ; 7.749 ; Rise ; FPGA_CLK ; +-----------+------------+-----------+-----------+------------+-----------------+ @@ -680,15 +687,15 @@ No paths to report. +-----------+------------+-----------+-----------+------------+-----------------+ ; Data Port ; Clock Port ; 0 to Hi-Z ; 1 to Hi-Z ; Clock Edge ; Clock Reference ; +-----------+------------+-----------+-----------+------------+-----------------+ -; Data[*] ; FPGA_CLK ; 6.328 ; 6.328 ; Rise ; FPGA_CLK ; -; Data[0] ; FPGA_CLK ; 6.328 ; 6.328 ; Rise ; FPGA_CLK ; -; Data[1] ; FPGA_CLK ; 6.593 ; 6.593 ; Rise ; FPGA_CLK ; -; Data[2] ; FPGA_CLK ; 6.556 ; 6.556 ; Rise ; FPGA_CLK ; -; Data[3] ; FPGA_CLK ; 6.575 ; 6.575 ; Rise ; FPGA_CLK ; -; Data[4] ; FPGA_CLK ; 7.241 ; 7.241 ; Rise ; FPGA_CLK ; -; Data[5] ; FPGA_CLK ; 7.260 ; 7.260 ; Rise ; FPGA_CLK ; -; Data[6] ; FPGA_CLK ; 6.935 ; 6.935 ; Rise ; FPGA_CLK ; -; Data[7] ; FPGA_CLK ; 6.967 ; 6.967 ; Rise ; FPGA_CLK ; +; Data[*] ; FPGA_CLK ; 6.282 ; 6.282 ; Rise ; FPGA_CLK ; +; Data[0] ; FPGA_CLK ; 7.135 ; 7.135 ; Rise ; FPGA_CLK ; +; Data[1] ; FPGA_CLK ; 7.614 ; 7.614 ; Rise ; FPGA_CLK ; +; Data[2] ; FPGA_CLK ; 8.123 ; 8.123 ; Rise ; FPGA_CLK ; +; Data[3] ; FPGA_CLK ; 6.729 ; 6.729 ; Rise ; FPGA_CLK ; +; Data[4] ; FPGA_CLK ; 6.282 ; 6.282 ; Rise ; FPGA_CLK ; +; Data[5] ; FPGA_CLK ; 6.351 ; 6.351 ; Rise ; FPGA_CLK ; +; Data[6] ; FPGA_CLK ; 7.905 ; 7.905 ; Rise ; FPGA_CLK ; +; Data[7] ; FPGA_CLK ; 7.034 ; 7.034 ; Rise ; FPGA_CLK ; +-----------+------------+-----------+-----------+------------+-----------------+ @@ -698,13 +705,13 @@ No paths to report. No synchronizer chains to report. -+--------------------------------------------------+ -; Slow 1200mV 0C Model Fmax Summary ; -+------------+-----------------+------------+------+ -; Fmax ; Restricted Fmax ; Clock Name ; Note ; -+------------+-----------------+------------+------+ -; 169.32 MHz ; 169.32 MHz ; FPGA_CLK ; ; -+------------+-----------------+------------+------+ ++-------------------------------------------------+ +; Slow 1200mV 0C Model Fmax Summary ; ++-----------+-----------------+------------+------+ +; Fmax ; Restricted Fmax ; Clock Name ; Note ; ++-----------+-----------------+------------+------+ +; 52.95 MHz ; 52.95 MHz ; FPGA_CLK ; ; ++-----------+-----------------+------------+------+ This panel reports FMAX for every clock in the design, regardless of the user-specified clock periods. FMAX is only computed for paths where the source and destination registers or ports are driven by the same clock. Paths of different clocks, including generated clocks, are ignored. For paths between a clock and its inversion, FMAX is computed as if the rising and falling edges are scaled along with FMAX, such that the duty cycle (in terms of a percentage) is maintained. Altera recommends that you always use clock constraints and other slack reports for sign-off analysis. @@ -713,7 +720,7 @@ This panel reports FMAX for every clock in the design, regardless of the user-sp +----------+--------+----------------+ ; Clock ; Slack ; End Point TNS ; +----------+--------+----------------+ -; FPGA_CLK ; 34.094 ; 0.000 ; +; FPGA_CLK ; 21.115 ; 0.000 ; +----------+--------+----------------+ @@ -743,361 +750,364 @@ No paths to report. +----------+--------+------------------------------+ ; Clock ; Slack ; End Point TNS ; +----------+--------+------------------------------+ -; FPGA_CLK ; 19.600 ; 0.000 ; +; FPGA_CLK ; 19.746 ; 0.000 ; +----------+--------+------------------------------+ -+--------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------+ -; Slow 1200mV 0C Model Setup: 'FPGA_CLK' ; -+--------+--------------------------------------------------------------------------------------------------+----------------------------+--------------+-------------+--------------+------------+------------+ -; Slack ; From Node ; To Node ; Launch Clock ; Latch Clock ; Relationship ; Clock Skew ; Data Delay ; -+--------+--------------------------------------------------------------------------------------------------+----------------------------+--------------+-------------+--------------+------------+------------+ -; 34.094 ; LedBlink:inst2|counter[13] ; LedBlink:inst2|counter[0] ; FPGA_CLK ; FPGA_CLK ; 40.000 ; -0.069 ; 5.839 ; -; 34.094 ; LedBlink:inst2|counter[13] ; LedBlink:inst2|counter[1] ; FPGA_CLK ; FPGA_CLK ; 40.000 ; -0.069 ; 5.839 ; -; 34.094 ; LedBlink:inst2|counter[13] ; LedBlink:inst2|counter[2] ; FPGA_CLK ; FPGA_CLK ; 40.000 ; -0.069 ; 5.839 ; -; 34.094 ; LedBlink:inst2|counter[13] ; LedBlink:inst2|counter[3] ; FPGA_CLK ; FPGA_CLK ; 40.000 ; -0.069 ; 5.839 ; -; 34.094 ; LedBlink:inst2|counter[13] ; LedBlink:inst2|counter[4] ; FPGA_CLK ; FPGA_CLK ; 40.000 ; -0.069 ; 5.839 ; -; 34.094 ; LedBlink:inst2|counter[13] ; LedBlink:inst2|counter[5] ; FPGA_CLK ; FPGA_CLK ; 40.000 ; -0.069 ; 5.839 ; -; 34.094 ; LedBlink:inst2|counter[13] ; LedBlink:inst2|counter[6] ; FPGA_CLK ; FPGA_CLK ; 40.000 ; -0.069 ; 5.839 ; -; 34.094 ; LedBlink:inst2|counter[13] ; LedBlink:inst2|counter[7] ; FPGA_CLK ; FPGA_CLK ; 40.000 ; -0.069 ; 5.839 ; -; 34.094 ; LedBlink:inst2|counter[13] ; LedBlink:inst2|counter[8] ; FPGA_CLK ; FPGA_CLK ; 40.000 ; -0.069 ; 5.839 ; -; 34.094 ; LedBlink:inst2|counter[13] ; LedBlink:inst2|counter[9] ; FPGA_CLK ; FPGA_CLK ; 40.000 ; -0.069 ; 5.839 ; -; 34.094 ; LedBlink:inst2|counter[13] ; LedBlink:inst2|counter[10] ; FPGA_CLK ; FPGA_CLK ; 40.000 ; -0.069 ; 5.839 ; -; 34.094 ; LedBlink:inst2|counter[13] ; LedBlink:inst2|counter[11] ; FPGA_CLK ; FPGA_CLK ; 40.000 ; -0.069 ; 5.839 ; -; 34.109 ; LedBlink:inst2|counter[16] ; LedBlink:inst2|counter[0] ; FPGA_CLK ; FPGA_CLK ; 40.000 ; -0.069 ; 5.824 ; -; 34.109 ; LedBlink:inst2|counter[16] ; LedBlink:inst2|counter[1] ; FPGA_CLK ; FPGA_CLK ; 40.000 ; -0.069 ; 5.824 ; -; 34.109 ; LedBlink:inst2|counter[16] ; LedBlink:inst2|counter[2] ; FPGA_CLK ; FPGA_CLK ; 40.000 ; -0.069 ; 5.824 ; -; 34.109 ; LedBlink:inst2|counter[16] ; LedBlink:inst2|counter[3] ; FPGA_CLK ; FPGA_CLK ; 40.000 ; -0.069 ; 5.824 ; -; 34.109 ; LedBlink:inst2|counter[16] ; LedBlink:inst2|counter[4] ; FPGA_CLK ; FPGA_CLK ; 40.000 ; -0.069 ; 5.824 ; -; 34.109 ; LedBlink:inst2|counter[16] ; LedBlink:inst2|counter[5] ; FPGA_CLK ; FPGA_CLK ; 40.000 ; -0.069 ; 5.824 ; -; 34.109 ; LedBlink:inst2|counter[16] ; LedBlink:inst2|counter[6] ; FPGA_CLK ; FPGA_CLK ; 40.000 ; -0.069 ; 5.824 ; -; 34.109 ; LedBlink:inst2|counter[16] ; LedBlink:inst2|counter[7] ; FPGA_CLK ; FPGA_CLK ; 40.000 ; -0.069 ; 5.824 ; -; 34.109 ; LedBlink:inst2|counter[16] ; LedBlink:inst2|counter[8] ; FPGA_CLK ; FPGA_CLK ; 40.000 ; -0.069 ; 5.824 ; -; 34.109 ; LedBlink:inst2|counter[16] ; LedBlink:inst2|counter[9] ; FPGA_CLK ; FPGA_CLK ; 40.000 ; -0.069 ; 5.824 ; -; 34.109 ; LedBlink:inst2|counter[16] ; LedBlink:inst2|counter[10] ; FPGA_CLK ; FPGA_CLK ; 40.000 ; -0.069 ; 5.824 ; -; 34.109 ; LedBlink:inst2|counter[16] ; LedBlink:inst2|counter[11] ; FPGA_CLK ; FPGA_CLK ; 40.000 ; -0.069 ; 5.824 ; -; 34.258 ; LedBlink:inst2|counter[15] ; LedBlink:inst2|counter[0] ; FPGA_CLK ; FPGA_CLK ; 40.000 ; -0.069 ; 5.675 ; -; 34.258 ; LedBlink:inst2|counter[15] ; LedBlink:inst2|counter[1] ; FPGA_CLK ; FPGA_CLK ; 40.000 ; -0.069 ; 5.675 ; -; 34.258 ; LedBlink:inst2|counter[15] ; LedBlink:inst2|counter[2] ; FPGA_CLK ; FPGA_CLK ; 40.000 ; -0.069 ; 5.675 ; -; 34.258 ; LedBlink:inst2|counter[15] ; LedBlink:inst2|counter[3] ; FPGA_CLK ; FPGA_CLK ; 40.000 ; -0.069 ; 5.675 ; -; 34.258 ; LedBlink:inst2|counter[15] ; LedBlink:inst2|counter[4] ; FPGA_CLK ; FPGA_CLK ; 40.000 ; -0.069 ; 5.675 ; -; 34.258 ; LedBlink:inst2|counter[15] ; LedBlink:inst2|counter[5] ; FPGA_CLK ; FPGA_CLK ; 40.000 ; -0.069 ; 5.675 ; -; 34.258 ; LedBlink:inst2|counter[15] ; LedBlink:inst2|counter[6] ; FPGA_CLK ; FPGA_CLK ; 40.000 ; -0.069 ; 5.675 ; -; 34.258 ; LedBlink:inst2|counter[15] ; LedBlink:inst2|counter[7] ; FPGA_CLK ; FPGA_CLK ; 40.000 ; -0.069 ; 5.675 ; -; 34.258 ; LedBlink:inst2|counter[15] ; LedBlink:inst2|counter[8] ; FPGA_CLK ; FPGA_CLK ; 40.000 ; -0.069 ; 5.675 ; -; 34.258 ; LedBlink:inst2|counter[15] ; LedBlink:inst2|counter[9] ; FPGA_CLK ; FPGA_CLK ; 40.000 ; -0.069 ; 5.675 ; -; 34.258 ; LedBlink:inst2|counter[15] ; LedBlink:inst2|counter[10] ; FPGA_CLK ; FPGA_CLK ; 40.000 ; -0.069 ; 5.675 ; -; 34.258 ; LedBlink:inst2|counter[15] ; LedBlink:inst2|counter[11] ; FPGA_CLK ; FPGA_CLK ; 40.000 ; -0.069 ; 5.675 ; -; 34.338 ; RAM:inst3|memory_rtl_0_bypass[6] ; RAM:inst3|data0[4]~reg0 ; FPGA_CLK ; FPGA_CLK ; 40.000 ; -0.065 ; 5.599 ; -; 34.343 ; RAM:inst3|memory_rtl_0_bypass[6] ; RAM:inst3|data0[2]~reg0 ; FPGA_CLK ; FPGA_CLK ; 40.000 ; -0.065 ; 5.594 ; -; 34.343 ; RAM:inst3|memory_rtl_0_bypass[6] ; RAM:inst3|data0[7]~reg0 ; FPGA_CLK ; FPGA_CLK ; 40.000 ; -0.065 ; 5.594 ; -; 34.344 ; RAM:inst3|memory_rtl_0_bypass[6] ; RAM:inst3|data0[1]~reg0 ; FPGA_CLK ; FPGA_CLK ; 40.000 ; -0.065 ; 5.593 ; -; 34.363 ; RAM:inst3|memory_rtl_0_bypass[6] ; RAM:inst3|data0[6]~reg0 ; FPGA_CLK ; FPGA_CLK ; 40.000 ; -0.065 ; 5.574 ; -; 34.365 ; RAM:inst3|memory_rtl_0_bypass[6] ; RAM:inst3|data0[0]~reg0 ; FPGA_CLK ; FPGA_CLK ; 40.000 ; -0.065 ; 5.572 ; -; 34.375 ; RAM:inst3|altsyncram:memory_rtl_0|altsyncram_8bi1:auto_generated|ram_block1a0~portb_address_reg0 ; RAM:inst3|data0[5]~reg0 ; FPGA_CLK ; FPGA_CLK ; 40.000 ; -0.421 ; 5.206 ; -; 34.378 ; LedBlink:inst2|counter[6] ; LedBlink:inst2|counter[0] ; FPGA_CLK ; FPGA_CLK ; 40.000 ; -0.071 ; 5.553 ; -; 34.378 ; LedBlink:inst2|counter[6] ; LedBlink:inst2|counter[1] ; FPGA_CLK ; FPGA_CLK ; 40.000 ; -0.071 ; 5.553 ; -; 34.378 ; LedBlink:inst2|counter[6] ; LedBlink:inst2|counter[2] ; FPGA_CLK ; FPGA_CLK ; 40.000 ; -0.071 ; 5.553 ; -; 34.378 ; LedBlink:inst2|counter[6] ; LedBlink:inst2|counter[3] ; FPGA_CLK ; FPGA_CLK ; 40.000 ; -0.071 ; 5.553 ; -; 34.378 ; LedBlink:inst2|counter[6] ; LedBlink:inst2|counter[4] ; FPGA_CLK ; FPGA_CLK ; 40.000 ; -0.071 ; 5.553 ; -; 34.378 ; LedBlink:inst2|counter[6] ; LedBlink:inst2|counter[5] ; FPGA_CLK ; FPGA_CLK ; 40.000 ; -0.071 ; 5.553 ; -; 34.378 ; LedBlink:inst2|counter[6] ; LedBlink:inst2|counter[6] ; FPGA_CLK ; FPGA_CLK ; 40.000 ; -0.071 ; 5.553 ; -; 34.378 ; LedBlink:inst2|counter[6] ; LedBlink:inst2|counter[7] ; FPGA_CLK ; FPGA_CLK ; 40.000 ; -0.071 ; 5.553 ; -; 34.378 ; LedBlink:inst2|counter[6] ; LedBlink:inst2|counter[8] ; FPGA_CLK ; FPGA_CLK ; 40.000 ; -0.071 ; 5.553 ; -; 34.378 ; LedBlink:inst2|counter[6] ; LedBlink:inst2|counter[9] ; FPGA_CLK ; FPGA_CLK ; 40.000 ; -0.071 ; 5.553 ; -; 34.378 ; LedBlink:inst2|counter[6] ; LedBlink:inst2|counter[10] ; FPGA_CLK ; FPGA_CLK ; 40.000 ; -0.071 ; 5.553 ; -; 34.378 ; LedBlink:inst2|counter[6] ; LedBlink:inst2|counter[11] ; FPGA_CLK ; FPGA_CLK ; 40.000 ; -0.071 ; 5.553 ; -; 34.382 ; RAM:inst3|memory_rtl_0_bypass[6] ; RAM:inst3|data0[3]~reg0 ; FPGA_CLK ; FPGA_CLK ; 40.000 ; -0.065 ; 5.555 ; -; 34.520 ; LedBlink:inst2|counter[13] ; LedBlink:inst2|counter[15] ; FPGA_CLK ; FPGA_CLK ; 40.000 ; -0.071 ; 5.411 ; -; 34.520 ; LedBlink:inst2|counter[13] ; LedBlink:inst2|counter[12] ; FPGA_CLK ; FPGA_CLK ; 40.000 ; -0.071 ; 5.411 ; -; 34.520 ; LedBlink:inst2|counter[13] ; LedBlink:inst2|counter[13] ; FPGA_CLK ; FPGA_CLK ; 40.000 ; -0.071 ; 5.411 ; -; 34.520 ; LedBlink:inst2|counter[13] ; LedBlink:inst2|counter[14] ; FPGA_CLK ; FPGA_CLK ; 40.000 ; -0.071 ; 5.411 ; -; 34.520 ; LedBlink:inst2|counter[13] ; LedBlink:inst2|counter[16] ; FPGA_CLK ; FPGA_CLK ; 40.000 ; -0.071 ; 5.411 ; -; 34.520 ; LedBlink:inst2|counter[13] ; LedBlink:inst2|counter[17] ; FPGA_CLK ; FPGA_CLK ; 40.000 ; -0.071 ; 5.411 ; -; 34.520 ; LedBlink:inst2|counter[13] ; LedBlink:inst2|counter[22] ; FPGA_CLK ; FPGA_CLK ; 40.000 ; -0.071 ; 5.411 ; -; 34.520 ; LedBlink:inst2|counter[13] ; LedBlink:inst2|counter[18] ; FPGA_CLK ; FPGA_CLK ; 40.000 ; -0.071 ; 5.411 ; -; 34.520 ; LedBlink:inst2|counter[13] ; LedBlink:inst2|counter[19] ; FPGA_CLK ; FPGA_CLK ; 40.000 ; -0.071 ; 5.411 ; -; 34.520 ; LedBlink:inst2|counter[13] ; LedBlink:inst2|counter[20] ; FPGA_CLK ; FPGA_CLK ; 40.000 ; -0.071 ; 5.411 ; -; 34.520 ; LedBlink:inst2|counter[13] ; LedBlink:inst2|counter[21] ; FPGA_CLK ; FPGA_CLK ; 40.000 ; -0.071 ; 5.411 ; -; 34.520 ; LedBlink:inst2|counter[13] ; LedBlink:inst2|counter[23] ; FPGA_CLK ; FPGA_CLK ; 40.000 ; -0.071 ; 5.411 ; -; 34.535 ; LedBlink:inst2|counter[16] ; LedBlink:inst2|counter[15] ; FPGA_CLK ; FPGA_CLK ; 40.000 ; -0.071 ; 5.396 ; -; 34.535 ; LedBlink:inst2|counter[16] ; LedBlink:inst2|counter[12] ; FPGA_CLK ; FPGA_CLK ; 40.000 ; -0.071 ; 5.396 ; -; 34.535 ; LedBlink:inst2|counter[16] ; LedBlink:inst2|counter[13] ; FPGA_CLK ; FPGA_CLK ; 40.000 ; -0.071 ; 5.396 ; -; 34.535 ; LedBlink:inst2|counter[16] ; LedBlink:inst2|counter[14] ; FPGA_CLK ; FPGA_CLK ; 40.000 ; -0.071 ; 5.396 ; -; 34.535 ; LedBlink:inst2|counter[16] ; LedBlink:inst2|counter[16] ; FPGA_CLK ; FPGA_CLK ; 40.000 ; -0.071 ; 5.396 ; -; 34.535 ; LedBlink:inst2|counter[16] ; LedBlink:inst2|counter[17] ; FPGA_CLK ; FPGA_CLK ; 40.000 ; -0.071 ; 5.396 ; -; 34.535 ; LedBlink:inst2|counter[16] ; LedBlink:inst2|counter[22] ; FPGA_CLK ; FPGA_CLK ; 40.000 ; -0.071 ; 5.396 ; -; 34.535 ; LedBlink:inst2|counter[16] ; LedBlink:inst2|counter[18] ; FPGA_CLK ; FPGA_CLK ; 40.000 ; -0.071 ; 5.396 ; -; 34.535 ; LedBlink:inst2|counter[16] ; LedBlink:inst2|counter[19] ; FPGA_CLK ; FPGA_CLK ; 40.000 ; -0.071 ; 5.396 ; -; 34.535 ; LedBlink:inst2|counter[16] ; LedBlink:inst2|counter[20] ; FPGA_CLK ; FPGA_CLK ; 40.000 ; -0.071 ; 5.396 ; -; 34.535 ; LedBlink:inst2|counter[16] ; LedBlink:inst2|counter[21] ; FPGA_CLK ; FPGA_CLK ; 40.000 ; -0.071 ; 5.396 ; -; 34.535 ; LedBlink:inst2|counter[16] ; LedBlink:inst2|counter[23] ; FPGA_CLK ; FPGA_CLK ; 40.000 ; -0.071 ; 5.396 ; -; 34.551 ; RAM:inst3|memory_rtl_0_bypass[6] ; RAM:inst3|data0[5]~reg0 ; FPGA_CLK ; FPGA_CLK ; 40.000 ; -0.065 ; 5.386 ; -; 34.600 ; RAM:inst3|memory_rtl_0_bypass[2] ; RAM:inst3|data0[4]~reg0 ; FPGA_CLK ; FPGA_CLK ; 40.000 ; -0.065 ; 5.337 ; -; 34.605 ; RAM:inst3|memory_rtl_0_bypass[2] ; RAM:inst3|data0[2]~reg0 ; FPGA_CLK ; FPGA_CLK ; 40.000 ; -0.065 ; 5.332 ; -; 34.605 ; RAM:inst3|memory_rtl_0_bypass[2] ; RAM:inst3|data0[7]~reg0 ; FPGA_CLK ; FPGA_CLK ; 40.000 ; -0.065 ; 5.332 ; -; 34.606 ; RAM:inst3|memory_rtl_0_bypass[2] ; RAM:inst3|data0[1]~reg0 ; FPGA_CLK ; FPGA_CLK ; 40.000 ; -0.065 ; 5.331 ; -; 34.625 ; RAM:inst3|memory_rtl_0_bypass[2] ; RAM:inst3|data0[6]~reg0 ; FPGA_CLK ; FPGA_CLK ; 40.000 ; -0.065 ; 5.312 ; -; 34.627 ; RAM:inst3|memory_rtl_0_bypass[2] ; RAM:inst3|data0[0]~reg0 ; FPGA_CLK ; FPGA_CLK ; 40.000 ; -0.065 ; 5.310 ; -; 34.644 ; RAM:inst3|memory_rtl_0_bypass[2] ; RAM:inst3|data0[3]~reg0 ; FPGA_CLK ; FPGA_CLK ; 40.000 ; -0.065 ; 5.293 ; -; 34.684 ; LedBlink:inst2|counter[15] ; LedBlink:inst2|counter[15] ; FPGA_CLK ; FPGA_CLK ; 40.000 ; -0.071 ; 5.247 ; -; 34.684 ; LedBlink:inst2|counter[15] ; LedBlink:inst2|counter[12] ; FPGA_CLK ; FPGA_CLK ; 40.000 ; -0.071 ; 5.247 ; -; 34.684 ; LedBlink:inst2|counter[15] ; LedBlink:inst2|counter[13] ; FPGA_CLK ; FPGA_CLK ; 40.000 ; -0.071 ; 5.247 ; -; 34.684 ; LedBlink:inst2|counter[15] ; LedBlink:inst2|counter[14] ; FPGA_CLK ; FPGA_CLK ; 40.000 ; -0.071 ; 5.247 ; -; 34.684 ; LedBlink:inst2|counter[15] ; LedBlink:inst2|counter[16] ; FPGA_CLK ; FPGA_CLK ; 40.000 ; -0.071 ; 5.247 ; -; 34.684 ; LedBlink:inst2|counter[15] ; LedBlink:inst2|counter[17] ; FPGA_CLK ; FPGA_CLK ; 40.000 ; -0.071 ; 5.247 ; -; 34.684 ; LedBlink:inst2|counter[15] ; LedBlink:inst2|counter[22] ; FPGA_CLK ; FPGA_CLK ; 40.000 ; -0.071 ; 5.247 ; -; 34.684 ; LedBlink:inst2|counter[15] ; LedBlink:inst2|counter[18] ; FPGA_CLK ; FPGA_CLK ; 40.000 ; -0.071 ; 5.247 ; -; 34.684 ; LedBlink:inst2|counter[15] ; LedBlink:inst2|counter[19] ; FPGA_CLK ; FPGA_CLK ; 40.000 ; -0.071 ; 5.247 ; -; 34.684 ; LedBlink:inst2|counter[15] ; LedBlink:inst2|counter[20] ; FPGA_CLK ; FPGA_CLK ; 40.000 ; -0.071 ; 5.247 ; -; 34.684 ; LedBlink:inst2|counter[15] ; LedBlink:inst2|counter[21] ; FPGA_CLK ; FPGA_CLK ; 40.000 ; -0.071 ; 5.247 ; -; 34.684 ; LedBlink:inst2|counter[15] ; LedBlink:inst2|counter[23] ; FPGA_CLK ; FPGA_CLK ; 40.000 ; -0.071 ; 5.247 ; -+--------+--------------------------------------------------------------------------------------------------+----------------------------+--------------+-------------+--------------+------------+------------+ ++--------------------------------------------------------------------------------------------------------------------------------------+ +; Slow 1200mV 0C Model Setup: 'FPGA_CLK' ; ++--------+---------------------------+---------------------------+--------------+-------------+--------------+------------+------------+ +; Slack ; From Node ; To Node ; Launch Clock ; Latch Clock ; Relationship ; Clock Skew ; Data Delay ; ++--------+---------------------------+---------------------------+--------------+-------------+--------------+------------+------------+ +; 21.115 ; RAM:inst1|addr0[7] ; RAM:inst1|data0[1]~reg0 ; FPGA_CLK ; FPGA_CLK ; 40.000 ; -0.102 ; 18.785 ; +; 21.955 ; RAM:inst1|addr0[2] ; RAM:inst1|data0[7]~reg0 ; FPGA_CLK ; FPGA_CLK ; 40.000 ; -0.093 ; 17.954 ; +; 22.075 ; RAM:inst1|addr0[6] ; RAM:inst1|data0[1]~reg0 ; FPGA_CLK ; FPGA_CLK ; 40.000 ; -0.102 ; 17.825 ; +; 22.370 ; RAM:inst1|addr0[3] ; RAM:inst1|data0[7]~reg0 ; FPGA_CLK ; FPGA_CLK ; 40.000 ; -0.093 ; 17.539 ; +; 22.653 ; RAM:inst1|addr0[0] ; RAM:inst1|data0[3]~reg0 ; FPGA_CLK ; FPGA_CLK ; 40.000 ; -0.070 ; 17.279 ; +; 22.711 ; RAM:inst1|part0[1] ; RAM:inst1|data0[4]~reg0 ; FPGA_CLK ; FPGA_CLK ; 40.000 ; -0.091 ; 17.200 ; +; 22.815 ; RAM:inst1|addr0[7] ; RAM:inst1|data0[0]~reg0 ; FPGA_CLK ; FPGA_CLK ; 40.000 ; -0.075 ; 17.112 ; +; 22.868 ; RAM:inst1|memory[167][17] ; RAM:inst1|data0[1]~reg0 ; FPGA_CLK ; FPGA_CLK ; 40.000 ; -0.107 ; 17.027 ; +; 22.896 ; RAM:inst1|addr0[3] ; RAM:inst1|data0[0]~reg0 ; FPGA_CLK ; FPGA_CLK ; 40.000 ; -0.075 ; 17.031 ; +; 23.045 ; RAM:inst1|addr0[5] ; RAM:inst1|data0[7]~reg0 ; FPGA_CLK ; FPGA_CLK ; 40.000 ; -0.070 ; 16.887 ; +; 23.091 ; RAM:inst1|addr0[6] ; RAM:inst1|data0[0]~reg0 ; FPGA_CLK ; FPGA_CLK ; 40.000 ; -0.075 ; 16.836 ; +; 23.140 ; RAM:inst1|memory[149][8] ; RAM:inst1|data0[0]~reg0 ; FPGA_CLK ; FPGA_CLK ; 40.000 ; -0.072 ; 16.790 ; +; 23.190 ; RAM:inst1|addr0[7] ; RAM:inst1|data0[4]~reg0 ; FPGA_CLK ; FPGA_CLK ; 40.000 ; -0.091 ; 16.721 ; +; 23.206 ; RAM:inst1|addr0[1] ; RAM:inst1|data0[7]~reg0 ; FPGA_CLK ; FPGA_CLK ; 40.000 ; -0.095 ; 16.701 ; +; 23.221 ; RAM:inst1|addr0[2] ; RAM:inst1|data0[0]~reg0 ; FPGA_CLK ; FPGA_CLK ; 40.000 ; -0.075 ; 16.706 ; +; 23.235 ; RAM:inst1|addr0[0] ; RAM:inst1|data0[0]~reg0 ; FPGA_CLK ; FPGA_CLK ; 40.000 ; -0.077 ; 16.690 ; +; 23.287 ; RAM:inst1|part0[0] ; RAM:inst1|data0[4]~reg0 ; FPGA_CLK ; FPGA_CLK ; 40.000 ; -0.091 ; 16.624 ; +; 23.346 ; RAM:inst1|addr0[7] ; RAM:inst1|data0[2]~reg0 ; FPGA_CLK ; FPGA_CLK ; 40.000 ; -0.098 ; 16.558 ; +; 23.370 ; RAM:inst1|addr0[1] ; RAM:inst1|data0[3]~reg0 ; FPGA_CLK ; FPGA_CLK ; 40.000 ; -0.070 ; 16.562 ; +; 23.381 ; RAM:inst1|memory[133][17] ; RAM:inst1|data0[1]~reg0 ; FPGA_CLK ; FPGA_CLK ; 40.000 ; -0.076 ; 16.545 ; +; 23.395 ; RAM:inst1|addr0[7] ; RAM:inst1|data0[5]~reg0 ; FPGA_CLK ; FPGA_CLK ; 40.000 ; -0.064 ; 16.543 ; +; 23.510 ; RAM:inst1|addr0[0] ; RAM:inst1|data0[7]~reg0 ; FPGA_CLK ; FPGA_CLK ; 40.000 ; -0.095 ; 16.397 ; +; 23.515 ; RAM:inst1|addr0[1] ; RAM:inst1|data0[1]~reg0 ; FPGA_CLK ; FPGA_CLK ; 40.000 ; -0.104 ; 16.383 ; +; 23.529 ; RAM:inst1|addr0[6] ; RAM:inst1|data0[4]~reg0 ; FPGA_CLK ; FPGA_CLK ; 40.000 ; -0.091 ; 16.382 ; +; 23.550 ; RAM:inst1|memory[53][17] ; RAM:inst1|data0[1]~reg0 ; FPGA_CLK ; FPGA_CLK ; 40.000 ; -0.081 ; 16.371 ; +; 23.592 ; RAM:inst1|addr0[5] ; RAM:inst1|data0[3]~reg0 ; FPGA_CLK ; FPGA_CLK ; 40.000 ; -0.077 ; 16.333 ; +; 23.632 ; RAM:inst1|addr0[1] ; RAM:inst1|data0[0]~reg0 ; FPGA_CLK ; FPGA_CLK ; 40.000 ; -0.077 ; 16.293 ; +; 23.660 ; RAM:inst1|addr0[4] ; RAM:inst1|data0[7]~reg0 ; FPGA_CLK ; FPGA_CLK ; 40.000 ; -0.070 ; 16.272 ; +; 23.683 ; RAM:inst1|addr0[0] ; RAM:inst1|data0[1]~reg0 ; FPGA_CLK ; FPGA_CLK ; 40.000 ; -0.104 ; 16.215 ; +; 23.728 ; RAM:inst1|addr0[5] ; RAM:inst1|data0[1]~reg0 ; FPGA_CLK ; FPGA_CLK ; 40.000 ; -0.079 ; 16.195 ; +; 23.872 ; RAM:inst1|addr0[6] ; RAM:inst1|data0[2]~reg0 ; FPGA_CLK ; FPGA_CLK ; 40.000 ; -0.098 ; 16.032 ; +; 23.908 ; RAM:inst1|addr0[4] ; RAM:inst1|data0[3]~reg0 ; FPGA_CLK ; FPGA_CLK ; 40.000 ; -0.077 ; 16.017 ; +; 23.910 ; RAM:inst1|addr0[2] ; RAM:inst1|data0[4]~reg0 ; FPGA_CLK ; FPGA_CLK ; 40.000 ; -0.091 ; 16.001 ; +; 23.961 ; RAM:inst1|addr0[3] ; RAM:inst1|data0[4]~reg0 ; FPGA_CLK ; FPGA_CLK ; 40.000 ; -0.091 ; 15.950 ; +; 23.964 ; RAM:inst1|memory[84][17] ; RAM:inst1|data0[1]~reg0 ; FPGA_CLK ; FPGA_CLK ; 40.000 ; -0.100 ; 15.938 ; +; 23.993 ; RAM:inst1|addr0[4] ; RAM:inst1|data0[1]~reg0 ; FPGA_CLK ; FPGA_CLK ; 40.000 ; -0.079 ; 15.930 ; +; 24.048 ; RAM:inst1|addr0[7] ; RAM:inst1|data0[6]~reg0 ; FPGA_CLK ; FPGA_CLK ; 40.000 ; -0.099 ; 15.855 ; +; 24.104 ; RAM:inst1|memory[173][7] ; RAM:inst1|data0[7]~reg0 ; FPGA_CLK ; FPGA_CLK ; 40.000 ; -0.104 ; 15.794 ; +; 24.211 ; RAM:inst1|memory[213][15] ; RAM:inst1|data0[7]~reg0 ; FPGA_CLK ; FPGA_CLK ; 40.000 ; -0.089 ; 15.702 ; +; 24.242 ; RAM:inst1|memory[120][20] ; RAM:inst1|data0[4]~reg0 ; FPGA_CLK ; FPGA_CLK ; 40.000 ; -0.093 ; 15.667 ; +; 24.246 ; RAM:inst1|addr0[6] ; RAM:inst1|data0[6]~reg0 ; FPGA_CLK ; FPGA_CLK ; 40.000 ; -0.099 ; 15.657 ; +; 24.266 ; RAM:inst1|part0[0] ; RAM:inst1|data0[7]~reg0 ; FPGA_CLK ; FPGA_CLK ; 40.000 ; -0.093 ; 15.643 ; +; 24.290 ; RAM:inst1|addr0[4] ; RAM:inst1|data0[2]~reg0 ; FPGA_CLK ; FPGA_CLK ; 40.000 ; -0.091 ; 15.621 ; +; 24.325 ; RAM:inst1|memory[169][7] ; RAM:inst1|data0[7]~reg0 ; FPGA_CLK ; FPGA_CLK ; 40.000 ; -0.075 ; 15.602 ; +; 24.371 ; RAM:inst1|memory[118][17] ; RAM:inst1|data0[1]~reg0 ; FPGA_CLK ; FPGA_CLK ; 40.000 ; -0.095 ; 15.536 ; +; 24.399 ; RAM:inst1|memory[122][3] ; RAM:inst1|data0[3]~reg0 ; FPGA_CLK ; FPGA_CLK ; 40.000 ; -0.086 ; 15.517 ; +; 24.438 ; RAM:inst1|memory[217][8] ; RAM:inst1|data0[0]~reg0 ; FPGA_CLK ; FPGA_CLK ; 40.000 ; -0.095 ; 15.469 ; +; 24.464 ; RAM:inst1|memory[34][3] ; RAM:inst1|data0[3]~reg0 ; FPGA_CLK ; FPGA_CLK ; 40.000 ; -0.068 ; 15.470 ; +; 24.572 ; RAM:inst1|memory[120][0] ; RAM:inst1|data0[0]~reg0 ; FPGA_CLK ; FPGA_CLK ; 40.000 ; -0.076 ; 15.354 ; +; 24.637 ; RAM:inst1|addr0[3] ; RAM:inst1|data0[3]~reg0 ; FPGA_CLK ; FPGA_CLK ; 40.000 ; -0.068 ; 15.297 ; +; 24.645 ; RAM:inst1|part0[1] ; RAM:inst1|data0[1]~reg0 ; FPGA_CLK ; FPGA_CLK ; 40.000 ; -0.102 ; 15.255 ; +; 24.669 ; RAM:inst1|part0[1] ; RAM:inst1|data0[5]~reg0 ; FPGA_CLK ; FPGA_CLK ; 40.000 ; -0.064 ; 15.269 ; +; 24.675 ; RAM:inst1|memory[189][23] ; RAM:inst1|data0[7]~reg0 ; FPGA_CLK ; FPGA_CLK ; 40.000 ; -0.069 ; 15.258 ; +; 24.695 ; RAM:inst1|part0[0] ; RAM:inst1|data0[1]~reg0 ; FPGA_CLK ; FPGA_CLK ; 40.000 ; -0.102 ; 15.205 ; +; 24.703 ; RAM:inst1|memory[218][15] ; RAM:inst1|data0[7]~reg0 ; FPGA_CLK ; FPGA_CLK ; 40.000 ; -0.096 ; 15.203 ; +; 24.709 ; RAM:inst1|addr0[3] ; RAM:inst1|memory[250][14] ; FPGA_CLK ; FPGA_CLK ; 40.000 ; -0.096 ; 15.197 ; +; 24.710 ; RAM:inst1|addr0[3] ; RAM:inst1|memory[250][15] ; FPGA_CLK ; FPGA_CLK ; 40.000 ; -0.088 ; 15.204 ; +; 24.710 ; RAM:inst1|addr0[3] ; RAM:inst1|memory[250][13] ; FPGA_CLK ; FPGA_CLK ; 40.000 ; -0.088 ; 15.204 ; +; 24.710 ; RAM:inst1|addr0[3] ; RAM:inst1|memory[250][12] ; FPGA_CLK ; FPGA_CLK ; 40.000 ; -0.088 ; 15.204 ; +; 24.710 ; RAM:inst1|addr0[3] ; RAM:inst1|memory[250][11] ; FPGA_CLK ; FPGA_CLK ; 40.000 ; -0.088 ; 15.204 ; +; 24.710 ; RAM:inst1|addr0[3] ; RAM:inst1|memory[250][10] ; FPGA_CLK ; FPGA_CLK ; 40.000 ; -0.088 ; 15.204 ; +; 24.710 ; RAM:inst1|addr0[3] ; RAM:inst1|memory[250][9] ; FPGA_CLK ; FPGA_CLK ; 40.000 ; -0.088 ; 15.204 ; +; 24.710 ; RAM:inst1|addr0[3] ; RAM:inst1|memory[250][8] ; FPGA_CLK ; FPGA_CLK ; 40.000 ; -0.088 ; 15.204 ; +; 24.773 ; RAM:inst1|memory[98][12] ; RAM:inst1|data0[4]~reg0 ; FPGA_CLK ; FPGA_CLK ; 40.000 ; -0.071 ; 15.158 ; +; 24.792 ; RAM:inst1|addr0[2] ; RAM:inst1|data0[6]~reg0 ; FPGA_CLK ; FPGA_CLK ; 40.000 ; -0.099 ; 15.111 ; +; 24.833 ; RAM:inst1|addr0[3] ; RAM:inst1|memory[58][15] ; FPGA_CLK ; FPGA_CLK ; 40.000 ; -0.101 ; 15.068 ; +; 24.833 ; RAM:inst1|addr0[3] ; RAM:inst1|memory[58][13] ; FPGA_CLK ; FPGA_CLK ; 40.000 ; -0.101 ; 15.068 ; +; 24.833 ; RAM:inst1|addr0[3] ; RAM:inst1|memory[58][12] ; FPGA_CLK ; FPGA_CLK ; 40.000 ; -0.101 ; 15.068 ; +; 24.833 ; RAM:inst1|addr0[3] ; RAM:inst1|memory[58][11] ; FPGA_CLK ; FPGA_CLK ; 40.000 ; -0.101 ; 15.068 ; +; 24.833 ; RAM:inst1|addr0[3] ; RAM:inst1|memory[58][10] ; FPGA_CLK ; FPGA_CLK ; 40.000 ; -0.101 ; 15.068 ; +; 24.833 ; RAM:inst1|addr0[3] ; RAM:inst1|memory[58][8] ; FPGA_CLK ; FPGA_CLK ; 40.000 ; -0.101 ; 15.068 ; +; 24.839 ; RAM:inst1|addr0[3] ; RAM:inst1|data0[6]~reg0 ; FPGA_CLK ; FPGA_CLK ; 40.000 ; -0.099 ; 15.064 ; +; 24.848 ; RAM:inst1|memory[70][17] ; RAM:inst1|data0[1]~reg0 ; FPGA_CLK ; FPGA_CLK ; 40.000 ; -0.095 ; 15.059 ; +; 24.867 ; RAM:inst1|addr0[0] ; RAM:inst1|data0[4]~reg0 ; FPGA_CLK ; FPGA_CLK ; 40.000 ; -0.093 ; 15.042 ; +; 24.880 ; RAM:inst1|addr0[1] ; RAM:inst1|memory[232][12] ; FPGA_CLK ; FPGA_CLK ; 40.000 ; -0.075 ; 15.047 ; +; 24.886 ; RAM:inst1|memory[215][15] ; RAM:inst1|data0[7]~reg0 ; FPGA_CLK ; FPGA_CLK ; 40.000 ; -0.093 ; 15.023 ; +; 24.894 ; RAM:inst1|memory[39][17] ; RAM:inst1|data0[1]~reg0 ; FPGA_CLK ; FPGA_CLK ; 40.000 ; -0.092 ; 15.016 ; +; 24.899 ; RAM:inst1|addr0[2] ; RAM:inst1|data0[2]~reg0 ; FPGA_CLK ; FPGA_CLK ; 40.000 ; -0.098 ; 15.005 ; +; 24.903 ; RAM:inst1|memory[55][10] ; RAM:inst1|data0[2]~reg0 ; FPGA_CLK ; FPGA_CLK ; 40.000 ; -0.092 ; 15.007 ; +; 24.943 ; RAM:inst1|part0[1] ; RAM:inst1|data0[3]~reg0 ; FPGA_CLK ; FPGA_CLK ; 40.000 ; -0.068 ; 14.991 ; +; 24.952 ; RAM:inst1|addr0[6] ; RAM:inst1|data0[5]~reg0 ; FPGA_CLK ; FPGA_CLK ; 40.000 ; -0.064 ; 14.986 ; +; 24.956 ; RAM:inst1|memory[20][12] ; RAM:inst1|data0[4]~reg0 ; FPGA_CLK ; FPGA_CLK ; 40.000 ; -0.069 ; 14.977 ; +; 24.959 ; RAM:inst1|addr0[4] ; RAM:inst1|data0[6]~reg0 ; FPGA_CLK ; FPGA_CLK ; 40.000 ; -0.092 ; 14.951 ; +; 25.030 ; RAM:inst1|addr0[3] ; RAM:inst1|memory[58][14] ; FPGA_CLK ; FPGA_CLK ; 40.000 ; -0.088 ; 14.884 ; +; 25.030 ; RAM:inst1|addr0[3] ; RAM:inst1|memory[58][9] ; FPGA_CLK ; FPGA_CLK ; 40.000 ; -0.088 ; 14.884 ; +; 25.040 ; RAM:inst1|addr0[3] ; RAM:inst1|data0[2]~reg0 ; FPGA_CLK ; FPGA_CLK ; 40.000 ; -0.098 ; 14.864 ; +; 25.058 ; RAM:inst1|memory[30][16] ; RAM:inst1|data0[0]~reg0 ; FPGA_CLK ; FPGA_CLK ; 40.000 ; -0.094 ; 14.850 ; +; 25.107 ; RAM:inst1|memory[96][2] ; RAM:inst1|data0[2]~reg0 ; FPGA_CLK ; FPGA_CLK ; 40.000 ; -0.100 ; 14.795 ; +; 25.126 ; RAM:inst1|memory[183][16] ; RAM:inst1|data0[0]~reg0 ; FPGA_CLK ; FPGA_CLK ; 40.000 ; -0.077 ; 14.799 ; +; 25.166 ; RAM:inst1|addr0[3] ; RAM:inst1|memory[202][15] ; FPGA_CLK ; FPGA_CLK ; 40.000 ; -0.084 ; 14.752 ; +; 25.166 ; RAM:inst1|addr0[3] ; RAM:inst1|memory[202][14] ; FPGA_CLK ; FPGA_CLK ; 40.000 ; -0.084 ; 14.752 ; +; 25.166 ; RAM:inst1|addr0[3] ; RAM:inst1|memory[202][13] ; FPGA_CLK ; FPGA_CLK ; 40.000 ; -0.084 ; 14.752 ; +; 25.166 ; RAM:inst1|addr0[3] ; RAM:inst1|memory[202][12] ; FPGA_CLK ; FPGA_CLK ; 40.000 ; -0.084 ; 14.752 ; +; 25.166 ; RAM:inst1|addr0[3] ; RAM:inst1|memory[202][11] ; FPGA_CLK ; FPGA_CLK ; 40.000 ; -0.084 ; 14.752 ; +; 25.166 ; RAM:inst1|addr0[3] ; RAM:inst1|memory[202][10] ; FPGA_CLK ; FPGA_CLK ; 40.000 ; -0.084 ; 14.752 ; +; 25.166 ; RAM:inst1|addr0[3] ; RAM:inst1|memory[202][9] ; FPGA_CLK ; FPGA_CLK ; 40.000 ; -0.084 ; 14.752 ; +; 25.166 ; RAM:inst1|addr0[3] ; RAM:inst1|memory[202][8] ; FPGA_CLK ; FPGA_CLK ; 40.000 ; -0.084 ; 14.752 ; +; 25.167 ; RAM:inst1|memory[118][12] ; RAM:inst1|data0[4]~reg0 ; FPGA_CLK ; FPGA_CLK ; 40.000 ; -0.068 ; 14.767 ; +; 25.202 ; RAM:inst1|memory[128][10] ; RAM:inst1|data0[2]~reg0 ; FPGA_CLK ; FPGA_CLK ; 40.000 ; -0.095 ; 14.705 ; +; 25.235 ; RAM:inst1|addr0[0] ; RAM:inst1|memory[232][12] ; FPGA_CLK ; FPGA_CLK ; 40.000 ; -0.075 ; 14.692 ; ++--------+---------------------------+---------------------------+--------------+-------------+--------------+------------+------------+ -+--------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------+ -; Slow 1200mV 0C Model Hold: 'FPGA_CLK' ; -+-------+-----------------------------------+--------------------------------------------------------------------------------------------------+--------------+-------------+--------------+------------+------------+ -; Slack ; From Node ; To Node ; Launch Clock ; Latch Clock ; Relationship ; Clock Skew ; Data Delay ; -+-------+-----------------------------------+--------------------------------------------------------------------------------------------------+--------------+-------------+--------------+------------+------------+ -; 0.402 ; RAM:inst3|stateMM0.Waiting ; RAM:inst3|stateMM0.Waiting ; FPGA_CLK ; FPGA_CLK ; 0.000 ; 0.072 ; 0.669 ; -; 0.404 ; LedBlink:inst2|ledBuf ; LedBlink:inst2|ledBuf ; FPGA_CLK ; FPGA_CLK ; 0.000 ; 0.070 ; 0.669 ; -; 0.470 ; RAM:inst3|memory_rtl_0_bypass[18] ; RAM:inst3|data0[1]~reg0 ; FPGA_CLK ; FPGA_CLK ; 0.000 ; 0.072 ; 0.737 ; -; 0.470 ; RAM:inst3|memory_rtl_0_bypass[19] ; RAM:inst3|data0[2]~reg0 ; FPGA_CLK ; FPGA_CLK ; 0.000 ; 0.072 ; 0.737 ; -; 0.470 ; RAM:inst3|memory_rtl_0_bypass[22] ; RAM:inst3|data0[5]~reg0 ; FPGA_CLK ; FPGA_CLK ; 0.000 ; 0.072 ; 0.737 ; -; 0.472 ; RAM:inst3|memory_rtl_0_bypass[24] ; RAM:inst3|data0[7]~reg0 ; FPGA_CLK ; FPGA_CLK ; 0.000 ; 0.072 ; 0.739 ; -; 0.494 ; RAM:inst3|addr[6] ; RAM:inst3|memory_rtl_0_bypass[13] ; FPGA_CLK ; FPGA_CLK ; 0.000 ; 0.071 ; 0.760 ; -; 0.599 ; RAM:inst3|memory_rtl_0_bypass[20] ; RAM:inst3|data0[3]~reg0 ; FPGA_CLK ; FPGA_CLK ; 0.000 ; 0.072 ; 0.866 ; -; 0.600 ; RAM:inst3|memory_rtl_0_bypass[21] ; RAM:inst3|data0[4]~reg0 ; FPGA_CLK ; FPGA_CLK ; 0.000 ; 0.072 ; 0.867 ; -; 0.648 ; RAM:inst3|ce0Prev ; RAM:inst3|stateMM0.Writing ; FPGA_CLK ; FPGA_CLK ; 0.000 ; 0.072 ; 0.915 ; -; 0.650 ; RAM:inst3|ce0Prev ; RAM:inst3|stateMM0.Reading ; FPGA_CLK ; FPGA_CLK ; 0.000 ; 0.072 ; 0.917 ; -; 0.670 ; RAM:inst3|addr[7] ; RAM:inst3|memory_rtl_0_bypass[15] ; FPGA_CLK ; FPGA_CLK ; 0.000 ; 0.071 ; 0.936 ; -; 0.687 ; RAM:inst3|memory_rtl_0_bypass[23] ; RAM:inst3|data0[6]~reg0 ; FPGA_CLK ; FPGA_CLK ; 0.000 ; 0.072 ; 0.954 ; -; 0.688 ; RAM:inst3|memory_rtl_0_bypass[17] ; RAM:inst3|data0[0]~reg0 ; FPGA_CLK ; FPGA_CLK ; 0.000 ; 0.072 ; 0.955 ; -; 0.693 ; LedBlink:inst2|counter[9] ; LedBlink:inst2|counter[9] ; FPGA_CLK ; FPGA_CLK ; 0.000 ; 0.071 ; 0.959 ; -; 0.694 ; LedBlink:inst2|counter[7] ; LedBlink:inst2|counter[7] ; FPGA_CLK ; FPGA_CLK ; 0.000 ; 0.071 ; 0.960 ; -; 0.694 ; LedBlink:inst2|counter[11] ; LedBlink:inst2|counter[11] ; FPGA_CLK ; FPGA_CLK ; 0.000 ; 0.071 ; 0.960 ; -; 0.695 ; LedBlink:inst2|counter[1] ; LedBlink:inst2|counter[1] ; FPGA_CLK ; FPGA_CLK ; 0.000 ; 0.071 ; 0.961 ; -; 0.695 ; LedBlink:inst2|counter[2] ; LedBlink:inst2|counter[2] ; FPGA_CLK ; FPGA_CLK ; 0.000 ; 0.071 ; 0.961 ; -; 0.696 ; LedBlink:inst2|counter[5] ; LedBlink:inst2|counter[5] ; FPGA_CLK ; FPGA_CLK ; 0.000 ; 0.071 ; 0.962 ; -; 0.696 ; LedBlink:inst2|counter[17] ; LedBlink:inst2|counter[17] ; FPGA_CLK ; FPGA_CLK ; 0.000 ; 0.071 ; 0.962 ; -; 0.697 ; LedBlink:inst2|counter[3] ; LedBlink:inst2|counter[3] ; FPGA_CLK ; FPGA_CLK ; 0.000 ; 0.071 ; 0.963 ; -; 0.697 ; LedBlink:inst2|counter[21] ; LedBlink:inst2|counter[21] ; FPGA_CLK ; FPGA_CLK ; 0.000 ; 0.071 ; 0.963 ; -; 0.697 ; LedBlink:inst2|counter[23] ; LedBlink:inst2|counter[23] ; FPGA_CLK ; FPGA_CLK ; 0.000 ; 0.071 ; 0.963 ; -; 0.698 ; LedBlink:inst2|counter[8] ; LedBlink:inst2|counter[8] ; FPGA_CLK ; FPGA_CLK ; 0.000 ; 0.071 ; 0.964 ; -; 0.698 ; LedBlink:inst2|counter[18] ; LedBlink:inst2|counter[18] ; FPGA_CLK ; FPGA_CLK ; 0.000 ; 0.071 ; 0.964 ; -; 0.698 ; LedBlink:inst2|counter[19] ; LedBlink:inst2|counter[19] ; FPGA_CLK ; FPGA_CLK ; 0.000 ; 0.071 ; 0.964 ; -; 0.699 ; LedBlink:inst2|counter[10] ; LedBlink:inst2|counter[10] ; FPGA_CLK ; FPGA_CLK ; 0.000 ; 0.071 ; 0.965 ; -; 0.699 ; LedBlink:inst2|counter[14] ; LedBlink:inst2|counter[14] ; FPGA_CLK ; FPGA_CLK ; 0.000 ; 0.071 ; 0.965 ; -; 0.701 ; LedBlink:inst2|counter[22] ; LedBlink:inst2|counter[22] ; FPGA_CLK ; FPGA_CLK ; 0.000 ; 0.071 ; 0.967 ; -; 0.701 ; LedBlink:inst2|counter[20] ; LedBlink:inst2|counter[20] ; FPGA_CLK ; FPGA_CLK ; 0.000 ; 0.071 ; 0.967 ; -; 0.708 ; LedBlink:inst2|counter[13] ; LedBlink:inst2|counter[13] ; FPGA_CLK ; FPGA_CLK ; 0.000 ; 0.071 ; 0.974 ; -; 0.711 ; LedBlink:inst2|counter[12] ; LedBlink:inst2|counter[12] ; FPGA_CLK ; FPGA_CLK ; 0.000 ; 0.071 ; 0.977 ; -; 0.713 ; LedBlink:inst2|counter[6] ; LedBlink:inst2|counter[6] ; FPGA_CLK ; FPGA_CLK ; 0.000 ; 0.071 ; 0.979 ; -; 0.714 ; LedBlink:inst2|counter[15] ; LedBlink:inst2|counter[15] ; FPGA_CLK ; FPGA_CLK ; 0.000 ; 0.071 ; 0.980 ; -; 0.721 ; LedBlink:inst2|counter[16] ; LedBlink:inst2|counter[16] ; FPGA_CLK ; FPGA_CLK ; 0.000 ; 0.071 ; 0.987 ; -; 0.722 ; LedBlink:inst2|counter[4] ; LedBlink:inst2|counter[4] ; FPGA_CLK ; FPGA_CLK ; 0.000 ; 0.071 ; 0.988 ; -; 0.724 ; LedBlink:inst2|counter[0] ; LedBlink:inst2|counter[0] ; FPGA_CLK ; FPGA_CLK ; 0.000 ; 0.071 ; 0.990 ; -; 0.741 ; RAM:inst3|stateMM0.Waiting ; RAM:inst3|stateMM0.Reading ; FPGA_CLK ; FPGA_CLK ; 0.000 ; 0.072 ; 1.008 ; -; 0.742 ; RAM:inst3|stateMM0.Waiting ; RAM:inst3|stateMM0.Writing ; FPGA_CLK ; FPGA_CLK ; 0.000 ; 0.072 ; 1.009 ; -; 0.760 ; RAM:inst3|ce0Prev ; RAM:inst3|stateMM0.Waiting ; FPGA_CLK ; FPGA_CLK ; 0.000 ; 0.072 ; 1.027 ; -; 0.795 ; RAM:inst3|addr[5] ; RAM:inst3|altsyncram:memory_rtl_0|altsyncram_8bi1:auto_generated|ram_block1a0~porta_address_reg0 ; FPGA_CLK ; FPGA_CLK ; 0.000 ; 0.427 ; 1.452 ; -; 0.869 ; RAM:inst3|addr[4] ; RAM:inst3|altsyncram:memory_rtl_0|altsyncram_8bi1:auto_generated|ram_block1a0~porta_address_reg0 ; FPGA_CLK ; FPGA_CLK ; 0.000 ; 0.427 ; 1.526 ; -; 0.933 ; RAM:inst3|addr[2] ; RAM:inst3|altsyncram:memory_rtl_0|altsyncram_8bi1:auto_generated|ram_block1a0~porta_address_reg0 ; FPGA_CLK ; FPGA_CLK ; 0.000 ; 0.427 ; 1.590 ; -; 0.985 ; RAM:inst3|addr[7] ; RAM:inst3|altsyncram:memory_rtl_0|altsyncram_8bi1:auto_generated|ram_block1a0~porta_address_reg0 ; FPGA_CLK ; FPGA_CLK ; 0.000 ; 0.427 ; 1.642 ; -; 1.014 ; LedBlink:inst2|counter[2] ; LedBlink:inst2|counter[3] ; FPGA_CLK ; FPGA_CLK ; 0.000 ; 0.071 ; 1.280 ; -; 1.015 ; LedBlink:inst2|counter[9] ; LedBlink:inst2|counter[10] ; FPGA_CLK ; FPGA_CLK ; 0.000 ; 0.071 ; 1.281 ; -; 1.016 ; LedBlink:inst2|counter[7] ; LedBlink:inst2|counter[8] ; FPGA_CLK ; FPGA_CLK ; 0.000 ; 0.071 ; 1.282 ; -; 1.017 ; LedBlink:inst2|counter[8] ; LedBlink:inst2|counter[9] ; FPGA_CLK ; FPGA_CLK ; 0.000 ; 0.071 ; 1.283 ; -; 1.017 ; LedBlink:inst2|counter[1] ; LedBlink:inst2|counter[2] ; FPGA_CLK ; FPGA_CLK ; 0.000 ; 0.071 ; 1.283 ; -; 1.017 ; LedBlink:inst2|counter[18] ; LedBlink:inst2|counter[19] ; FPGA_CLK ; FPGA_CLK ; 0.000 ; 0.071 ; 1.283 ; -; 1.018 ; LedBlink:inst2|counter[0] ; LedBlink:inst2|counter[1] ; FPGA_CLK ; FPGA_CLK ; 0.000 ; 0.071 ; 1.284 ; -; 1.018 ; LedBlink:inst2|counter[17] ; LedBlink:inst2|counter[18] ; FPGA_CLK ; FPGA_CLK ; 0.000 ; 0.071 ; 1.284 ; -; 1.018 ; LedBlink:inst2|counter[11] ; LedBlink:inst2|counter[12] ; FPGA_CLK ; FPGA_CLK ; 0.000 ; 0.069 ; 1.282 ; -; 1.018 ; LedBlink:inst2|counter[10] ; LedBlink:inst2|counter[11] ; FPGA_CLK ; FPGA_CLK ; 0.000 ; 0.071 ; 1.284 ; -; 1.018 ; LedBlink:inst2|counter[14] ; LedBlink:inst2|counter[15] ; FPGA_CLK ; FPGA_CLK ; 0.000 ; 0.071 ; 1.284 ; -; 1.020 ; LedBlink:inst2|counter[5] ; LedBlink:inst2|counter[6] ; FPGA_CLK ; FPGA_CLK ; 0.000 ; 0.071 ; 1.286 ; -; 1.020 ; LedBlink:inst2|counter[22] ; LedBlink:inst2|counter[23] ; FPGA_CLK ; FPGA_CLK ; 0.000 ; 0.071 ; 1.286 ; -; 1.020 ; LedBlink:inst2|counter[20] ; LedBlink:inst2|counter[21] ; FPGA_CLK ; FPGA_CLK ; 0.000 ; 0.071 ; 1.286 ; -; 1.021 ; LedBlink:inst2|counter[21] ; LedBlink:inst2|counter[22] ; FPGA_CLK ; FPGA_CLK ; 0.000 ; 0.071 ; 1.287 ; -; 1.021 ; LedBlink:inst2|counter[3] ; LedBlink:inst2|counter[4] ; FPGA_CLK ; FPGA_CLK ; 0.000 ; 0.071 ; 1.287 ; -; 1.022 ; LedBlink:inst2|counter[19] ; LedBlink:inst2|counter[20] ; FPGA_CLK ; FPGA_CLK ; 0.000 ; 0.071 ; 1.288 ; -; 1.028 ; LedBlink:inst2|counter[12] ; LedBlink:inst2|counter[13] ; FPGA_CLK ; FPGA_CLK ; 0.000 ; 0.071 ; 1.294 ; -; 1.029 ; LedBlink:inst2|counter[2] ; LedBlink:inst2|counter[4] ; FPGA_CLK ; FPGA_CLK ; 0.000 ; 0.071 ; 1.295 ; -; 1.030 ; LedBlink:inst2|counter[6] ; LedBlink:inst2|counter[7] ; FPGA_CLK ; FPGA_CLK ; 0.000 ; 0.071 ; 1.296 ; -; 1.032 ; LedBlink:inst2|counter[13] ; LedBlink:inst2|counter[14] ; FPGA_CLK ; FPGA_CLK ; 0.000 ; 0.071 ; 1.298 ; -; 1.032 ; LedBlink:inst2|counter[8] ; LedBlink:inst2|counter[10] ; FPGA_CLK ; FPGA_CLK ; 0.000 ; 0.071 ; 1.298 ; -; 1.032 ; LedBlink:inst2|counter[18] ; LedBlink:inst2|counter[20] ; FPGA_CLK ; FPGA_CLK ; 0.000 ; 0.071 ; 1.298 ; -; 1.033 ; LedBlink:inst2|counter[14] ; LedBlink:inst2|counter[16] ; FPGA_CLK ; FPGA_CLK ; 0.000 ; 0.071 ; 1.299 ; -; 1.034 ; LedBlink:inst2|counter[0] ; LedBlink:inst2|counter[2] ; FPGA_CLK ; FPGA_CLK ; 0.000 ; 0.071 ; 1.300 ; -; 1.035 ; LedBlink:inst2|counter[10] ; LedBlink:inst2|counter[12] ; FPGA_CLK ; FPGA_CLK ; 0.000 ; 0.069 ; 1.299 ; -; 1.035 ; LedBlink:inst2|counter[20] ; LedBlink:inst2|counter[22] ; FPGA_CLK ; FPGA_CLK ; 0.000 ; 0.071 ; 1.301 ; -; 1.036 ; LedBlink:inst2|counter[15] ; LedBlink:inst2|counter[16] ; FPGA_CLK ; FPGA_CLK ; 0.000 ; 0.071 ; 1.302 ; -; 1.040 ; LedBlink:inst2|counter[16] ; LedBlink:inst2|counter[17] ; FPGA_CLK ; FPGA_CLK ; 0.000 ; 0.071 ; 1.306 ; -; 1.041 ; LedBlink:inst2|counter[4] ; LedBlink:inst2|counter[5] ; FPGA_CLK ; FPGA_CLK ; 0.000 ; 0.071 ; 1.307 ; -; 1.045 ; LedBlink:inst2|counter[12] ; LedBlink:inst2|counter[14] ; FPGA_CLK ; FPGA_CLK ; 0.000 ; 0.071 ; 1.311 ; -; 1.047 ; LedBlink:inst2|counter[6] ; LedBlink:inst2|counter[8] ; FPGA_CLK ; FPGA_CLK ; 0.000 ; 0.071 ; 1.313 ; -; 1.055 ; LedBlink:inst2|counter[16] ; LedBlink:inst2|counter[18] ; FPGA_CLK ; FPGA_CLK ; 0.000 ; 0.071 ; 1.321 ; -; 1.056 ; LedBlink:inst2|counter[4] ; LedBlink:inst2|counter[6] ; FPGA_CLK ; FPGA_CLK ; 0.000 ; 0.071 ; 1.322 ; -; 1.111 ; LedBlink:inst2|counter[9] ; LedBlink:inst2|counter[11] ; FPGA_CLK ; FPGA_CLK ; 0.000 ; 0.071 ; 1.377 ; -; 1.113 ; LedBlink:inst2|counter[7] ; LedBlink:inst2|counter[9] ; FPGA_CLK ; FPGA_CLK ; 0.000 ; 0.071 ; 1.379 ; -; 1.113 ; RAM:inst3|we0Prev ; RAM:inst3|altsyncram:memory_rtl_0|altsyncram_8bi1:auto_generated|ram_block1a0~porta_we_reg ; FPGA_CLK ; FPGA_CLK ; 0.000 ; 0.416 ; 1.759 ; -; 1.115 ; LedBlink:inst2|counter[11] ; LedBlink:inst2|counter[13] ; FPGA_CLK ; FPGA_CLK ; 0.000 ; 0.069 ; 1.379 ; -; 1.115 ; LedBlink:inst2|counter[1] ; LedBlink:inst2|counter[3] ; FPGA_CLK ; FPGA_CLK ; 0.000 ; 0.071 ; 1.381 ; -; 1.115 ; LedBlink:inst2|counter[17] ; LedBlink:inst2|counter[19] ; FPGA_CLK ; FPGA_CLK ; 0.000 ; 0.071 ; 1.381 ; -; 1.117 ; LedBlink:inst2|counter[5] ; LedBlink:inst2|counter[7] ; FPGA_CLK ; FPGA_CLK ; 0.000 ; 0.071 ; 1.383 ; -; 1.117 ; LedBlink:inst2|counter[3] ; LedBlink:inst2|counter[5] ; FPGA_CLK ; FPGA_CLK ; 0.000 ; 0.071 ; 1.383 ; -; 1.119 ; LedBlink:inst2|counter[19] ; LedBlink:inst2|counter[21] ; FPGA_CLK ; FPGA_CLK ; 0.000 ; 0.071 ; 1.385 ; -; 1.119 ; LedBlink:inst2|counter[21] ; LedBlink:inst2|counter[23] ; FPGA_CLK ; FPGA_CLK ; 0.000 ; 0.071 ; 1.385 ; -; 1.126 ; LedBlink:inst2|counter[13] ; LedBlink:inst2|counter[15] ; FPGA_CLK ; FPGA_CLK ; 0.000 ; 0.071 ; 1.392 ; -; 1.131 ; LedBlink:inst2|counter[15] ; LedBlink:inst2|counter[17] ; FPGA_CLK ; FPGA_CLK ; 0.000 ; 0.071 ; 1.397 ; -; 1.136 ; LedBlink:inst2|counter[2] ; LedBlink:inst2|counter[5] ; FPGA_CLK ; FPGA_CLK ; 0.000 ; 0.071 ; 1.402 ; -; 1.138 ; LedBlink:inst2|counter[7] ; LedBlink:inst2|counter[10] ; FPGA_CLK ; FPGA_CLK ; 0.000 ; 0.071 ; 1.404 ; -; 1.139 ; LedBlink:inst2|counter[1] ; LedBlink:inst2|counter[4] ; FPGA_CLK ; FPGA_CLK ; 0.000 ; 0.071 ; 1.405 ; -; 1.139 ; LedBlink:inst2|counter[9] ; LedBlink:inst2|counter[12] ; FPGA_CLK ; FPGA_CLK ; 0.000 ; 0.069 ; 1.403 ; -; 1.139 ; LedBlink:inst2|counter[8] ; LedBlink:inst2|counter[11] ; FPGA_CLK ; FPGA_CLK ; 0.000 ; 0.071 ; 1.405 ; -; 1.139 ; LedBlink:inst2|counter[18] ; LedBlink:inst2|counter[21] ; FPGA_CLK ; FPGA_CLK ; 0.000 ; 0.071 ; 1.405 ; -; 1.140 ; LedBlink:inst2|counter[17] ; LedBlink:inst2|counter[20] ; FPGA_CLK ; FPGA_CLK ; 0.000 ; 0.071 ; 1.406 ; -; 1.140 ; LedBlink:inst2|counter[14] ; LedBlink:inst2|counter[17] ; FPGA_CLK ; FPGA_CLK ; 0.000 ; 0.071 ; 1.406 ; -; 1.140 ; LedBlink:inst2|counter[11] ; LedBlink:inst2|counter[14] ; FPGA_CLK ; FPGA_CLK ; 0.000 ; 0.069 ; 1.404 ; -+-------+-----------------------------------+--------------------------------------------------------------------------------------------------+--------------+-------------+--------------+------------+------------+ ++---------------------------------------------------------------------------------------------------------------------------------------+ +; Slow 1200mV 0C Model Hold: 'FPGA_CLK' ; ++-------+----------------------------+----------------------------+--------------+-------------+--------------+------------+------------+ +; Slack ; From Node ; To Node ; Launch Clock ; Latch Clock ; Relationship ; Clock Skew ; Data Delay ; ++-------+----------------------------+----------------------------+--------------+-------------+--------------+------------+------------+ +; 0.402 ; RAM:inst1|stateMM0.Waiting ; RAM:inst1|stateMM0.Waiting ; FPGA_CLK ; FPGA_CLK ; 0.000 ; 0.072 ; 0.669 ; +; 0.402 ; LedBlink:inst2|ledBuf ; LedBlink:inst2|ledBuf ; FPGA_CLK ; FPGA_CLK ; 0.000 ; 0.072 ; 0.669 ; +; 0.618 ; RAM:inst1|ce0Prev ; RAM:inst1|stateMM0.Reading ; FPGA_CLK ; FPGA_CLK ; 0.000 ; 0.072 ; 0.885 ; +; 0.618 ; RAM:inst1|ce0Prev ; RAM:inst1|stateMM0.Writing ; FPGA_CLK ; FPGA_CLK ; 0.000 ; 0.072 ; 0.885 ; +; 0.692 ; LedBlink:inst2|counter[1] ; LedBlink:inst2|counter[1] ; FPGA_CLK ; FPGA_CLK ; 0.000 ; 0.072 ; 0.959 ; +; 0.692 ; LedBlink:inst2|counter[7] ; LedBlink:inst2|counter[7] ; FPGA_CLK ; FPGA_CLK ; 0.000 ; 0.072 ; 0.959 ; +; 0.692 ; LedBlink:inst2|counter[11] ; LedBlink:inst2|counter[11] ; FPGA_CLK ; FPGA_CLK ; 0.000 ; 0.072 ; 0.959 ; +; 0.693 ; LedBlink:inst2|counter[9] ; LedBlink:inst2|counter[9] ; FPGA_CLK ; FPGA_CLK ; 0.000 ; 0.072 ; 0.960 ; +; 0.694 ; LedBlink:inst2|counter[15] ; LedBlink:inst2|counter[15] ; FPGA_CLK ; FPGA_CLK ; 0.000 ; 0.071 ; 0.960 ; +; 0.694 ; LedBlink:inst2|counter[17] ; LedBlink:inst2|counter[17] ; FPGA_CLK ; FPGA_CLK ; 0.000 ; 0.071 ; 0.960 ; +; 0.695 ; LedBlink:inst2|counter[13] ; LedBlink:inst2|counter[13] ; FPGA_CLK ; FPGA_CLK ; 0.000 ; 0.071 ; 0.961 ; +; 0.696 ; LedBlink:inst2|counter[12] ; LedBlink:inst2|counter[12] ; FPGA_CLK ; FPGA_CLK ; 0.000 ; 0.071 ; 0.962 ; +; 0.696 ; LedBlink:inst2|counter[2] ; LedBlink:inst2|counter[2] ; FPGA_CLK ; FPGA_CLK ; 0.000 ; 0.072 ; 0.963 ; +; 0.696 ; LedBlink:inst2|counter[3] ; LedBlink:inst2|counter[3] ; FPGA_CLK ; FPGA_CLK ; 0.000 ; 0.072 ; 0.963 ; +; 0.696 ; LedBlink:inst2|counter[5] ; LedBlink:inst2|counter[5] ; FPGA_CLK ; FPGA_CLK ; 0.000 ; 0.072 ; 0.963 ; +; 0.697 ; LedBlink:inst2|counter[8] ; LedBlink:inst2|counter[8] ; FPGA_CLK ; FPGA_CLK ; 0.000 ; 0.072 ; 0.964 ; +; 0.697 ; LedBlink:inst2|counter[21] ; LedBlink:inst2|counter[21] ; FPGA_CLK ; FPGA_CLK ; 0.000 ; 0.071 ; 0.963 ; +; 0.697 ; LedBlink:inst2|counter[23] ; LedBlink:inst2|counter[23] ; FPGA_CLK ; FPGA_CLK ; 0.000 ; 0.071 ; 0.963 ; +; 0.698 ; LedBlink:inst2|counter[4] ; LedBlink:inst2|counter[4] ; FPGA_CLK ; FPGA_CLK ; 0.000 ; 0.072 ; 0.965 ; +; 0.698 ; LedBlink:inst2|counter[10] ; LedBlink:inst2|counter[10] ; FPGA_CLK ; FPGA_CLK ; 0.000 ; 0.072 ; 0.965 ; +; 0.698 ; LedBlink:inst2|counter[18] ; LedBlink:inst2|counter[18] ; FPGA_CLK ; FPGA_CLK ; 0.000 ; 0.071 ; 0.964 ; +; 0.698 ; LedBlink:inst2|counter[19] ; LedBlink:inst2|counter[19] ; FPGA_CLK ; FPGA_CLK ; 0.000 ; 0.071 ; 0.964 ; +; 0.699 ; LedBlink:inst2|counter[6] ; LedBlink:inst2|counter[6] ; FPGA_CLK ; FPGA_CLK ; 0.000 ; 0.072 ; 0.966 ; +; 0.699 ; LedBlink:inst2|counter[14] ; LedBlink:inst2|counter[14] ; FPGA_CLK ; FPGA_CLK ; 0.000 ; 0.071 ; 0.965 ; +; 0.700 ; LedBlink:inst2|counter[16] ; LedBlink:inst2|counter[16] ; FPGA_CLK ; FPGA_CLK ; 0.000 ; 0.071 ; 0.966 ; +; 0.701 ; LedBlink:inst2|counter[20] ; LedBlink:inst2|counter[20] ; FPGA_CLK ; FPGA_CLK ; 0.000 ; 0.071 ; 0.967 ; +; 0.702 ; LedBlink:inst2|counter[22] ; LedBlink:inst2|counter[22] ; FPGA_CLK ; FPGA_CLK ; 0.000 ; 0.071 ; 0.968 ; +; 0.723 ; LedBlink:inst2|counter[0] ; LedBlink:inst2|counter[0] ; FPGA_CLK ; FPGA_CLK ; 0.000 ; 0.072 ; 0.990 ; +; 0.781 ; RAM:inst1|oe0Prev ; RAM:inst1|stateMM0.Waiting ; FPGA_CLK ; FPGA_CLK ; 0.000 ; 0.072 ; 1.048 ; +; 0.823 ; RAM:inst1|stateMM0.Waiting ; RAM:inst1|stateMM0.Reading ; FPGA_CLK ; FPGA_CLK ; 0.000 ; 0.072 ; 1.090 ; +; 0.841 ; RAM:inst1|stateMM0.Waiting ; RAM:inst1|stateMM0.Writing ; FPGA_CLK ; FPGA_CLK ; 0.000 ; 0.072 ; 1.108 ; +; 1.012 ; RAM:inst1|stateMM0.Writing ; RAM:inst1|stateMM0.Waiting ; FPGA_CLK ; FPGA_CLK ; 0.000 ; 0.072 ; 1.279 ; +; 1.012 ; LedBlink:inst2|counter[11] ; LedBlink:inst2|counter[12] ; FPGA_CLK ; FPGA_CLK ; 0.000 ; 0.074 ; 1.281 ; +; 1.014 ; LedBlink:inst2|counter[1] ; LedBlink:inst2|counter[2] ; FPGA_CLK ; FPGA_CLK ; 0.000 ; 0.072 ; 1.281 ; +; 1.014 ; LedBlink:inst2|counter[7] ; LedBlink:inst2|counter[8] ; FPGA_CLK ; FPGA_CLK ; 0.000 ; 0.072 ; 1.281 ; +; 1.015 ; LedBlink:inst2|counter[9] ; LedBlink:inst2|counter[10] ; FPGA_CLK ; FPGA_CLK ; 0.000 ; 0.072 ; 1.282 ; +; 1.015 ; LedBlink:inst2|counter[12] ; LedBlink:inst2|counter[13] ; FPGA_CLK ; FPGA_CLK ; 0.000 ; 0.071 ; 1.281 ; +; 1.015 ; LedBlink:inst2|counter[2] ; LedBlink:inst2|counter[3] ; FPGA_CLK ; FPGA_CLK ; 0.000 ; 0.072 ; 1.282 ; +; 1.016 ; LedBlink:inst2|counter[8] ; LedBlink:inst2|counter[9] ; FPGA_CLK ; FPGA_CLK ; 0.000 ; 0.072 ; 1.283 ; +; 1.016 ; LedBlink:inst2|counter[17] ; LedBlink:inst2|counter[18] ; FPGA_CLK ; FPGA_CLK ; 0.000 ; 0.071 ; 1.282 ; +; 1.016 ; LedBlink:inst2|counter[15] ; LedBlink:inst2|counter[16] ; FPGA_CLK ; FPGA_CLK ; 0.000 ; 0.071 ; 1.282 ; +; 1.017 ; LedBlink:inst2|counter[10] ; LedBlink:inst2|counter[11] ; FPGA_CLK ; FPGA_CLK ; 0.000 ; 0.072 ; 1.284 ; +; 1.017 ; LedBlink:inst2|counter[4] ; LedBlink:inst2|counter[5] ; FPGA_CLK ; FPGA_CLK ; 0.000 ; 0.072 ; 1.284 ; +; 1.017 ; LedBlink:inst2|counter[18] ; LedBlink:inst2|counter[19] ; FPGA_CLK ; FPGA_CLK ; 0.000 ; 0.071 ; 1.283 ; +; 1.018 ; LedBlink:inst2|counter[0] ; LedBlink:inst2|counter[1] ; FPGA_CLK ; FPGA_CLK ; 0.000 ; 0.072 ; 1.285 ; +; 1.018 ; LedBlink:inst2|counter[14] ; LedBlink:inst2|counter[15] ; FPGA_CLK ; FPGA_CLK ; 0.000 ; 0.071 ; 1.284 ; +; 1.018 ; LedBlink:inst2|counter[6] ; LedBlink:inst2|counter[7] ; FPGA_CLK ; FPGA_CLK ; 0.000 ; 0.072 ; 1.285 ; +; 1.019 ; LedBlink:inst2|counter[13] ; LedBlink:inst2|counter[14] ; FPGA_CLK ; FPGA_CLK ; 0.000 ; 0.071 ; 1.285 ; +; 1.019 ; LedBlink:inst2|counter[16] ; LedBlink:inst2|counter[17] ; FPGA_CLK ; FPGA_CLK ; 0.000 ; 0.071 ; 1.285 ; +; 1.020 ; LedBlink:inst2|counter[3] ; LedBlink:inst2|counter[4] ; FPGA_CLK ; FPGA_CLK ; 0.000 ; 0.072 ; 1.287 ; +; 1.020 ; LedBlink:inst2|counter[5] ; LedBlink:inst2|counter[6] ; FPGA_CLK ; FPGA_CLK ; 0.000 ; 0.072 ; 1.287 ; +; 1.020 ; LedBlink:inst2|counter[20] ; LedBlink:inst2|counter[21] ; FPGA_CLK ; FPGA_CLK ; 0.000 ; 0.071 ; 1.286 ; +; 1.021 ; LedBlink:inst2|counter[21] ; LedBlink:inst2|counter[22] ; FPGA_CLK ; FPGA_CLK ; 0.000 ; 0.071 ; 1.287 ; +; 1.021 ; LedBlink:inst2|counter[22] ; LedBlink:inst2|counter[23] ; FPGA_CLK ; FPGA_CLK ; 0.000 ; 0.071 ; 1.287 ; +; 1.022 ; LedBlink:inst2|counter[19] ; LedBlink:inst2|counter[20] ; FPGA_CLK ; FPGA_CLK ; 0.000 ; 0.071 ; 1.288 ; +; 1.030 ; LedBlink:inst2|counter[10] ; LedBlink:inst2|counter[12] ; FPGA_CLK ; FPGA_CLK ; 0.000 ; 0.074 ; 1.299 ; +; 1.030 ; LedBlink:inst2|counter[12] ; LedBlink:inst2|counter[14] ; FPGA_CLK ; FPGA_CLK ; 0.000 ; 0.071 ; 1.296 ; +; 1.030 ; LedBlink:inst2|counter[2] ; LedBlink:inst2|counter[4] ; FPGA_CLK ; FPGA_CLK ; 0.000 ; 0.072 ; 1.297 ; +; 1.031 ; LedBlink:inst2|counter[8] ; LedBlink:inst2|counter[10] ; FPGA_CLK ; FPGA_CLK ; 0.000 ; 0.072 ; 1.298 ; +; 1.032 ; LedBlink:inst2|counter[4] ; LedBlink:inst2|counter[6] ; FPGA_CLK ; FPGA_CLK ; 0.000 ; 0.072 ; 1.299 ; +; 1.032 ; LedBlink:inst2|counter[18] ; LedBlink:inst2|counter[20] ; FPGA_CLK ; FPGA_CLK ; 0.000 ; 0.071 ; 1.298 ; +; 1.033 ; LedBlink:inst2|counter[0] ; LedBlink:inst2|counter[2] ; FPGA_CLK ; FPGA_CLK ; 0.000 ; 0.072 ; 1.300 ; +; 1.033 ; LedBlink:inst2|counter[6] ; LedBlink:inst2|counter[8] ; FPGA_CLK ; FPGA_CLK ; 0.000 ; 0.072 ; 1.300 ; +; 1.033 ; LedBlink:inst2|counter[14] ; LedBlink:inst2|counter[16] ; FPGA_CLK ; FPGA_CLK ; 0.000 ; 0.071 ; 1.299 ; +; 1.034 ; LedBlink:inst2|counter[16] ; LedBlink:inst2|counter[18] ; FPGA_CLK ; FPGA_CLK ; 0.000 ; 0.071 ; 1.300 ; +; 1.035 ; LedBlink:inst2|counter[20] ; LedBlink:inst2|counter[22] ; FPGA_CLK ; FPGA_CLK ; 0.000 ; 0.071 ; 1.301 ; +; 1.068 ; RAM:inst1|we0Prev ; RAM:inst1|stateMM0.Waiting ; FPGA_CLK ; FPGA_CLK ; 0.000 ; 0.072 ; 1.335 ; +; 1.108 ; LedBlink:inst2|counter[11] ; LedBlink:inst2|counter[13] ; FPGA_CLK ; FPGA_CLK ; 0.000 ; 0.074 ; 1.377 ; +; 1.110 ; LedBlink:inst2|counter[7] ; LedBlink:inst2|counter[9] ; FPGA_CLK ; FPGA_CLK ; 0.000 ; 0.072 ; 1.377 ; +; 1.111 ; LedBlink:inst2|counter[1] ; LedBlink:inst2|counter[3] ; FPGA_CLK ; FPGA_CLK ; 0.000 ; 0.072 ; 1.378 ; +; 1.112 ; LedBlink:inst2|counter[9] ; LedBlink:inst2|counter[11] ; FPGA_CLK ; FPGA_CLK ; 0.000 ; 0.072 ; 1.379 ; +; 1.112 ; LedBlink:inst2|counter[17] ; LedBlink:inst2|counter[19] ; FPGA_CLK ; FPGA_CLK ; 0.000 ; 0.071 ; 1.378 ; +; 1.113 ; LedBlink:inst2|counter[15] ; LedBlink:inst2|counter[17] ; FPGA_CLK ; FPGA_CLK ; 0.000 ; 0.071 ; 1.379 ; +; 1.116 ; LedBlink:inst2|counter[13] ; LedBlink:inst2|counter[15] ; FPGA_CLK ; FPGA_CLK ; 0.000 ; 0.071 ; 1.382 ; +; 1.116 ; LedBlink:inst2|counter[3] ; LedBlink:inst2|counter[5] ; FPGA_CLK ; FPGA_CLK ; 0.000 ; 0.072 ; 1.383 ; +; 1.117 ; LedBlink:inst2|counter[5] ; LedBlink:inst2|counter[7] ; FPGA_CLK ; FPGA_CLK ; 0.000 ; 0.072 ; 1.384 ; +; 1.119 ; LedBlink:inst2|counter[19] ; LedBlink:inst2|counter[21] ; FPGA_CLK ; FPGA_CLK ; 0.000 ; 0.071 ; 1.385 ; +; 1.119 ; LedBlink:inst2|counter[21] ; LedBlink:inst2|counter[23] ; FPGA_CLK ; FPGA_CLK ; 0.000 ; 0.071 ; 1.385 ; +; 1.134 ; LedBlink:inst2|counter[11] ; LedBlink:inst2|counter[14] ; FPGA_CLK ; FPGA_CLK ; 0.000 ; 0.074 ; 1.403 ; +; 1.135 ; LedBlink:inst2|counter[9] ; LedBlink:inst2|counter[12] ; FPGA_CLK ; FPGA_CLK ; 0.000 ; 0.074 ; 1.404 ; +; 1.136 ; LedBlink:inst2|counter[1] ; LedBlink:inst2|counter[4] ; FPGA_CLK ; FPGA_CLK ; 0.000 ; 0.072 ; 1.403 ; +; 1.136 ; LedBlink:inst2|counter[7] ; LedBlink:inst2|counter[10] ; FPGA_CLK ; FPGA_CLK ; 0.000 ; 0.072 ; 1.403 ; +; 1.137 ; LedBlink:inst2|counter[10] ; LedBlink:inst2|counter[13] ; FPGA_CLK ; FPGA_CLK ; 0.000 ; 0.074 ; 1.406 ; +; 1.137 ; LedBlink:inst2|counter[12] ; LedBlink:inst2|counter[15] ; FPGA_CLK ; FPGA_CLK ; 0.000 ; 0.071 ; 1.403 ; +; 1.137 ; LedBlink:inst2|counter[2] ; LedBlink:inst2|counter[5] ; FPGA_CLK ; FPGA_CLK ; 0.000 ; 0.072 ; 1.404 ; +; 1.138 ; LedBlink:inst2|counter[17] ; LedBlink:inst2|counter[20] ; FPGA_CLK ; FPGA_CLK ; 0.000 ; 0.071 ; 1.404 ; +; 1.138 ; LedBlink:inst2|counter[15] ; LedBlink:inst2|counter[18] ; FPGA_CLK ; FPGA_CLK ; 0.000 ; 0.071 ; 1.404 ; +; 1.138 ; LedBlink:inst2|counter[8] ; LedBlink:inst2|counter[11] ; FPGA_CLK ; FPGA_CLK ; 0.000 ; 0.072 ; 1.405 ; +; 1.139 ; LedBlink:inst2|counter[4] ; LedBlink:inst2|counter[7] ; FPGA_CLK ; FPGA_CLK ; 0.000 ; 0.072 ; 1.406 ; +; 1.139 ; LedBlink:inst2|counter[18] ; LedBlink:inst2|counter[21] ; FPGA_CLK ; FPGA_CLK ; 0.000 ; 0.071 ; 1.405 ; +; 1.140 ; LedBlink:inst2|counter[0] ; LedBlink:inst2|counter[3] ; FPGA_CLK ; FPGA_CLK ; 0.000 ; 0.072 ; 1.407 ; +; 1.140 ; LedBlink:inst2|counter[14] ; LedBlink:inst2|counter[17] ; FPGA_CLK ; FPGA_CLK ; 0.000 ; 0.071 ; 1.406 ; +; 1.140 ; LedBlink:inst2|counter[6] ; LedBlink:inst2|counter[9] ; FPGA_CLK ; FPGA_CLK ; 0.000 ; 0.072 ; 1.407 ; +; 1.141 ; LedBlink:inst2|counter[13] ; LedBlink:inst2|counter[16] ; FPGA_CLK ; FPGA_CLK ; 0.000 ; 0.071 ; 1.407 ; +; 1.141 ; LedBlink:inst2|counter[16] ; LedBlink:inst2|counter[19] ; FPGA_CLK ; FPGA_CLK ; 0.000 ; 0.071 ; 1.407 ; +; 1.142 ; LedBlink:inst2|counter[3] ; LedBlink:inst2|counter[6] ; FPGA_CLK ; FPGA_CLK ; 0.000 ; 0.072 ; 1.409 ; +; 1.142 ; LedBlink:inst2|counter[5] ; LedBlink:inst2|counter[8] ; FPGA_CLK ; FPGA_CLK ; 0.000 ; 0.072 ; 1.409 ; +; 1.142 ; LedBlink:inst2|counter[20] ; LedBlink:inst2|counter[23] ; FPGA_CLK ; FPGA_CLK ; 0.000 ; 0.071 ; 1.408 ; +; 1.144 ; LedBlink:inst2|counter[19] ; LedBlink:inst2|counter[22] ; FPGA_CLK ; FPGA_CLK ; 0.000 ; 0.071 ; 1.410 ; +; 1.151 ; LedBlink:inst2|counter[8] ; LedBlink:inst2|counter[12] ; FPGA_CLK ; FPGA_CLK ; 0.000 ; 0.074 ; 1.420 ; ++-------+----------------------------+----------------------------+--------------+-------------+--------------+------------+------------+ -+--------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------+ -; Slow 1200mV 0C Model Minimum Pulse Width: 'FPGA_CLK' ; -+--------+--------------+----------------+------------------+----------+------------+--------------------------------------------------------------------------------------------------+ -; Slack ; Actual Width ; Required Width ; Type ; Clock ; Clock Edge ; Target ; -+--------+--------------+----------------+------------------+----------+------------+--------------------------------------------------------------------------------------------------+ -; 19.600 ; 19.830 ; 0.230 ; Low Pulse Width ; FPGA_CLK ; Rise ; RAM:inst3|altsyncram:memory_rtl_0|altsyncram_8bi1:auto_generated|ram_block1a0~portb_address_reg0 ; -; 19.602 ; 19.832 ; 0.230 ; Low Pulse Width ; FPGA_CLK ; Rise ; RAM:inst3|altsyncram:memory_rtl_0|altsyncram_8bi1:auto_generated|ram_block1a0~porta_address_reg0 ; -; 19.602 ; 19.832 ; 0.230 ; Low Pulse Width ; FPGA_CLK ; Rise ; RAM:inst3|altsyncram:memory_rtl_0|altsyncram_8bi1:auto_generated|ram_block1a0~porta_we_reg ; -; 19.604 ; 19.834 ; 0.230 ; Low Pulse Width ; FPGA_CLK ; Rise ; RAM:inst3|altsyncram:memory_rtl_0|altsyncram_8bi1:auto_generated|ram_block1a0~porta_datain_reg0 ; -; 19.752 ; 19.936 ; 0.184 ; Low Pulse Width ; FPGA_CLK ; Rise ; LedBlink:inst2|counter[0] ; -; 19.752 ; 19.936 ; 0.184 ; Low Pulse Width ; FPGA_CLK ; Rise ; LedBlink:inst2|counter[10] ; -; 19.752 ; 19.936 ; 0.184 ; Low Pulse Width ; FPGA_CLK ; Rise ; LedBlink:inst2|counter[11] ; -; 19.752 ; 19.936 ; 0.184 ; Low Pulse Width ; FPGA_CLK ; Rise ; LedBlink:inst2|counter[1] ; -; 19.752 ; 19.936 ; 0.184 ; Low Pulse Width ; FPGA_CLK ; Rise ; LedBlink:inst2|counter[2] ; -; 19.752 ; 19.936 ; 0.184 ; Low Pulse Width ; FPGA_CLK ; Rise ; LedBlink:inst2|counter[3] ; -; 19.752 ; 19.936 ; 0.184 ; Low Pulse Width ; FPGA_CLK ; Rise ; LedBlink:inst2|counter[4] ; -; 19.752 ; 19.936 ; 0.184 ; Low Pulse Width ; FPGA_CLK ; Rise ; LedBlink:inst2|counter[5] ; -; 19.752 ; 19.936 ; 0.184 ; Low Pulse Width ; FPGA_CLK ; Rise ; LedBlink:inst2|counter[6] ; -; 19.752 ; 19.936 ; 0.184 ; Low Pulse Width ; FPGA_CLK ; Rise ; LedBlink:inst2|counter[7] ; -; 19.752 ; 19.936 ; 0.184 ; Low Pulse Width ; FPGA_CLK ; Rise ; LedBlink:inst2|counter[8] ; -; 19.752 ; 19.936 ; 0.184 ; Low Pulse Width ; FPGA_CLK ; Rise ; LedBlink:inst2|counter[9] ; -; 19.753 ; 19.937 ; 0.184 ; Low Pulse Width ; FPGA_CLK ; Rise ; RAM:inst3|ce0Prev ; -; 19.753 ; 19.937 ; 0.184 ; Low Pulse Width ; FPGA_CLK ; Rise ; RAM:inst3|data0[0]~reg0 ; -; 19.753 ; 19.937 ; 0.184 ; Low Pulse Width ; FPGA_CLK ; Rise ; RAM:inst3|data0[1]~reg0 ; -; 19.753 ; 19.937 ; 0.184 ; Low Pulse Width ; FPGA_CLK ; Rise ; RAM:inst3|data0[2]~reg0 ; -; 19.753 ; 19.937 ; 0.184 ; Low Pulse Width ; FPGA_CLK ; Rise ; RAM:inst3|data0[3]~reg0 ; -; 19.753 ; 19.937 ; 0.184 ; Low Pulse Width ; FPGA_CLK ; Rise ; RAM:inst3|data0[4]~reg0 ; -; 19.753 ; 19.937 ; 0.184 ; Low Pulse Width ; FPGA_CLK ; Rise ; RAM:inst3|data0[5]~reg0 ; -; 19.753 ; 19.937 ; 0.184 ; Low Pulse Width ; FPGA_CLK ; Rise ; RAM:inst3|data0[6]~reg0 ; -; 19.753 ; 19.937 ; 0.184 ; Low Pulse Width ; FPGA_CLK ; Rise ; RAM:inst3|data0[7]~reg0 ; -; 19.753 ; 19.937 ; 0.184 ; Low Pulse Width ; FPGA_CLK ; Rise ; RAM:inst3|memory_rtl_0_bypass[0] ; -; 19.753 ; 19.937 ; 0.184 ; Low Pulse Width ; FPGA_CLK ; Rise ; RAM:inst3|memory_rtl_0_bypass[17] ; -; 19.753 ; 19.937 ; 0.184 ; Low Pulse Width ; FPGA_CLK ; Rise ; RAM:inst3|memory_rtl_0_bypass[18] ; -; 19.753 ; 19.937 ; 0.184 ; Low Pulse Width ; FPGA_CLK ; Rise ; RAM:inst3|memory_rtl_0_bypass[19] ; -; 19.753 ; 19.937 ; 0.184 ; Low Pulse Width ; FPGA_CLK ; Rise ; RAM:inst3|memory_rtl_0_bypass[1] ; -; 19.753 ; 19.937 ; 0.184 ; Low Pulse Width ; FPGA_CLK ; Rise ; RAM:inst3|memory_rtl_0_bypass[20] ; -; 19.753 ; 19.937 ; 0.184 ; Low Pulse Width ; FPGA_CLK ; Rise ; RAM:inst3|memory_rtl_0_bypass[21] ; -; 19.753 ; 19.937 ; 0.184 ; Low Pulse Width ; FPGA_CLK ; Rise ; RAM:inst3|memory_rtl_0_bypass[22] ; -; 19.753 ; 19.937 ; 0.184 ; Low Pulse Width ; FPGA_CLK ; Rise ; RAM:inst3|memory_rtl_0_bypass[23] ; -; 19.753 ; 19.937 ; 0.184 ; Low Pulse Width ; FPGA_CLK ; Rise ; RAM:inst3|memory_rtl_0_bypass[24] ; -; 19.753 ; 19.937 ; 0.184 ; Low Pulse Width ; FPGA_CLK ; Rise ; RAM:inst3|memory_rtl_0_bypass[3] ; -; 19.753 ; 19.937 ; 0.184 ; Low Pulse Width ; FPGA_CLK ; Rise ; RAM:inst3|memory_rtl_0_bypass[5] ; -; 19.753 ; 19.937 ; 0.184 ; Low Pulse Width ; FPGA_CLK ; Rise ; RAM:inst3|memory_rtl_0_bypass[7] ; -; 19.753 ; 19.937 ; 0.184 ; Low Pulse Width ; FPGA_CLK ; Rise ; RAM:inst3|oe0Prev ; -; 19.753 ; 19.937 ; 0.184 ; Low Pulse Width ; FPGA_CLK ; Rise ; RAM:inst3|stateMM0.Reading ; -; 19.753 ; 19.937 ; 0.184 ; Low Pulse Width ; FPGA_CLK ; Rise ; RAM:inst3|stateMM0.Waiting ; -; 19.753 ; 19.937 ; 0.184 ; Low Pulse Width ; FPGA_CLK ; Rise ; RAM:inst3|stateMM0.Writing ; -; 19.753 ; 19.937 ; 0.184 ; Low Pulse Width ; FPGA_CLK ; Rise ; RAM:inst3|we0Prev ; -; 19.754 ; 19.938 ; 0.184 ; Low Pulse Width ; FPGA_CLK ; Rise ; RAM:inst3|data0[0]~en ; -; 19.754 ; 19.938 ; 0.184 ; Low Pulse Width ; FPGA_CLK ; Rise ; RAM:inst3|data0[1]~en ; -; 19.754 ; 19.938 ; 0.184 ; Low Pulse Width ; FPGA_CLK ; Rise ; RAM:inst3|data0[2]~en ; -; 19.754 ; 19.938 ; 0.184 ; Low Pulse Width ; FPGA_CLK ; Rise ; RAM:inst3|data0[3]~en ; -; 19.754 ; 19.938 ; 0.184 ; Low Pulse Width ; FPGA_CLK ; Rise ; RAM:inst3|data0[4]~en ; -; 19.754 ; 19.938 ; 0.184 ; Low Pulse Width ; FPGA_CLK ; Rise ; RAM:inst3|data0[5]~en ; -; 19.754 ; 19.938 ; 0.184 ; Low Pulse Width ; FPGA_CLK ; Rise ; RAM:inst3|data0[6]~en ; -; 19.754 ; 19.938 ; 0.184 ; Low Pulse Width ; FPGA_CLK ; Rise ; RAM:inst3|data0[7]~en ; -; 19.755 ; 19.939 ; 0.184 ; Low Pulse Width ; FPGA_CLK ; Rise ; LedBlink:inst2|counter[12] ; -; 19.755 ; 19.939 ; 0.184 ; Low Pulse Width ; FPGA_CLK ; Rise ; LedBlink:inst2|counter[13] ; -; 19.755 ; 19.939 ; 0.184 ; Low Pulse Width ; FPGA_CLK ; Rise ; LedBlink:inst2|counter[14] ; -; 19.755 ; 19.939 ; 0.184 ; Low Pulse Width ; FPGA_CLK ; Rise ; LedBlink:inst2|counter[15] ; -; 19.755 ; 19.939 ; 0.184 ; Low Pulse Width ; FPGA_CLK ; Rise ; LedBlink:inst2|counter[16] ; -; 19.755 ; 19.939 ; 0.184 ; Low Pulse Width ; FPGA_CLK ; Rise ; LedBlink:inst2|counter[17] ; -; 19.755 ; 19.939 ; 0.184 ; Low Pulse Width ; FPGA_CLK ; Rise ; LedBlink:inst2|counter[18] ; -; 19.755 ; 19.939 ; 0.184 ; Low Pulse Width ; FPGA_CLK ; Rise ; LedBlink:inst2|counter[19] ; -; 19.755 ; 19.939 ; 0.184 ; Low Pulse Width ; FPGA_CLK ; Rise ; LedBlink:inst2|counter[20] ; -; 19.755 ; 19.939 ; 0.184 ; Low Pulse Width ; FPGA_CLK ; Rise ; LedBlink:inst2|counter[21] ; -; 19.755 ; 19.939 ; 0.184 ; Low Pulse Width ; FPGA_CLK ; Rise ; LedBlink:inst2|counter[22] ; -; 19.755 ; 19.939 ; 0.184 ; Low Pulse Width ; FPGA_CLK ; Rise ; LedBlink:inst2|counter[23] ; -; 19.755 ; 19.939 ; 0.184 ; Low Pulse Width ; FPGA_CLK ; Rise ; RAM:inst3|addr[0] ; -; 19.755 ; 19.939 ; 0.184 ; Low Pulse Width ; FPGA_CLK ; Rise ; RAM:inst3|addr[1] ; -; 19.755 ; 19.939 ; 0.184 ; Low Pulse Width ; FPGA_CLK ; Rise ; RAM:inst3|addr[2] ; -; 19.755 ; 19.939 ; 0.184 ; Low Pulse Width ; FPGA_CLK ; Rise ; RAM:inst3|addr[3] ; -; 19.755 ; 19.939 ; 0.184 ; Low Pulse Width ; FPGA_CLK ; Rise ; RAM:inst3|addr[4] ; -; 19.755 ; 19.939 ; 0.184 ; Low Pulse Width ; FPGA_CLK ; Rise ; RAM:inst3|addr[5] ; -; 19.755 ; 19.939 ; 0.184 ; Low Pulse Width ; FPGA_CLK ; Rise ; RAM:inst3|addr[6] ; -; 19.755 ; 19.939 ; 0.184 ; Low Pulse Width ; FPGA_CLK ; Rise ; RAM:inst3|addr[7] ; -; 19.755 ; 19.939 ; 0.184 ; Low Pulse Width ; FPGA_CLK ; Rise ; RAM:inst3|memory_rtl_0_bypass[13] ; -; 19.755 ; 19.939 ; 0.184 ; Low Pulse Width ; FPGA_CLK ; Rise ; RAM:inst3|memory_rtl_0_bypass[14] ; -; 19.755 ; 19.939 ; 0.184 ; Low Pulse Width ; FPGA_CLK ; Rise ; RAM:inst3|memory_rtl_0_bypass[15] ; -; 19.755 ; 19.939 ; 0.184 ; Low Pulse Width ; FPGA_CLK ; Rise ; RAM:inst3|memory_rtl_0_bypass[16] ; -; 19.755 ; 19.939 ; 0.184 ; Low Pulse Width ; FPGA_CLK ; Rise ; RAM:inst3|memory_rtl_0_bypass[2] ; -; 19.755 ; 19.939 ; 0.184 ; Low Pulse Width ; FPGA_CLK ; Rise ; RAM:inst3|memory_rtl_0_bypass[4] ; -; 19.755 ; 19.939 ; 0.184 ; Low Pulse Width ; FPGA_CLK ; Rise ; RAM:inst3|memory_rtl_0_bypass[6] ; -; 19.755 ; 19.939 ; 0.184 ; Low Pulse Width ; FPGA_CLK ; Rise ; RAM:inst3|memory_rtl_0_bypass[8] ; -; 19.756 ; 19.940 ; 0.184 ; Low Pulse Width ; FPGA_CLK ; Rise ; LedBlink:inst2|ledBuf ; -; 19.756 ; 19.940 ; 0.184 ; Low Pulse Width ; FPGA_CLK ; Rise ; RAM:inst3|memory_rtl_0_bypass[10] ; -; 19.756 ; 19.940 ; 0.184 ; Low Pulse Width ; FPGA_CLK ; Rise ; RAM:inst3|memory_rtl_0_bypass[11] ; -; 19.756 ; 19.940 ; 0.184 ; Low Pulse Width ; FPGA_CLK ; Rise ; RAM:inst3|memory_rtl_0_bypass[12] ; -; 19.756 ; 19.940 ; 0.184 ; Low Pulse Width ; FPGA_CLK ; Rise ; RAM:inst3|memory_rtl_0_bypass[9] ; -; 19.830 ; 19.830 ; 0.000 ; Low Pulse Width ; FPGA_CLK ; Rise ; inst|altpll_component|auto_generated|pll1|clk[0] ; -; 19.830 ; 19.830 ; 0.000 ; Low Pulse Width ; FPGA_CLK ; Rise ; inst|altpll_component|auto_generated|pll1|clk[1] ; -; 19.830 ; 19.830 ; 0.000 ; Low Pulse Width ; FPGA_CLK ; Rise ; inst|altpll_component|auto_generated|pll1|observablevcoout ; -; 19.840 ; 20.056 ; 0.216 ; High Pulse Width ; FPGA_CLK ; Rise ; RAM:inst3|memory_rtl_0_bypass[10] ; -; 19.840 ; 20.056 ; 0.216 ; High Pulse Width ; FPGA_CLK ; Rise ; RAM:inst3|memory_rtl_0_bypass[11] ; -; 19.840 ; 20.056 ; 0.216 ; High Pulse Width ; FPGA_CLK ; Rise ; RAM:inst3|memory_rtl_0_bypass[12] ; -; 19.840 ; 20.056 ; 0.216 ; High Pulse Width ; FPGA_CLK ; Rise ; RAM:inst3|memory_rtl_0_bypass[9] ; -; 19.841 ; 20.057 ; 0.216 ; High Pulse Width ; FPGA_CLK ; Rise ; LedBlink:inst2|ledBuf ; -; 19.841 ; 20.057 ; 0.216 ; High Pulse Width ; FPGA_CLK ; Rise ; RAM:inst3|addr[0] ; -; 19.841 ; 20.057 ; 0.216 ; High Pulse Width ; FPGA_CLK ; Rise ; RAM:inst3|addr[1] ; -; 19.841 ; 20.057 ; 0.216 ; High Pulse Width ; FPGA_CLK ; Rise ; RAM:inst3|addr[2] ; -; 19.841 ; 20.057 ; 0.216 ; High Pulse Width ; FPGA_CLK ; Rise ; RAM:inst3|addr[3] ; -; 19.841 ; 20.057 ; 0.216 ; High Pulse Width ; FPGA_CLK ; Rise ; RAM:inst3|addr[4] ; -; 19.841 ; 20.057 ; 0.216 ; High Pulse Width ; FPGA_CLK ; Rise ; RAM:inst3|addr[5] ; -; 19.841 ; 20.057 ; 0.216 ; High Pulse Width ; FPGA_CLK ; Rise ; RAM:inst3|addr[6] ; -; 19.841 ; 20.057 ; 0.216 ; High Pulse Width ; FPGA_CLK ; Rise ; RAM:inst3|addr[7] ; -+--------+--------------+----------------+------------------+----------+------------+--------------------------------------------------------------------------------------------------+ ++--------------------------------------------------------------------------------------------------------------+ +; Slow 1200mV 0C Model Minimum Pulse Width: 'FPGA_CLK' ; ++--------+--------------+----------------+-----------------+----------+------------+---------------------------+ +; Slack ; Actual Width ; Required Width ; Type ; Clock ; Clock Edge ; Target ; ++--------+--------------+----------------+-----------------+----------+------------+---------------------------+ +; 19.746 ; 19.930 ; 0.184 ; Low Pulse Width ; FPGA_CLK ; Rise ; RAM:inst1|memory[10][0] ; +; 19.746 ; 19.930 ; 0.184 ; Low Pulse Width ; FPGA_CLK ; Rise ; RAM:inst1|memory[10][17] ; +; 19.746 ; 19.930 ; 0.184 ; Low Pulse Width ; FPGA_CLK ; Rise ; RAM:inst1|memory[10][1] ; +; 19.746 ; 19.930 ; 0.184 ; Low Pulse Width ; FPGA_CLK ; Rise ; RAM:inst1|memory[10][2] ; +; 19.746 ; 19.930 ; 0.184 ; Low Pulse Width ; FPGA_CLK ; Rise ; RAM:inst1|memory[10][3] ; +; 19.746 ; 19.930 ; 0.184 ; Low Pulse Width ; FPGA_CLK ; Rise ; RAM:inst1|memory[10][4] ; +; 19.746 ; 19.930 ; 0.184 ; Low Pulse Width ; FPGA_CLK ; Rise ; RAM:inst1|memory[10][5] ; +; 19.746 ; 19.930 ; 0.184 ; Low Pulse Width ; FPGA_CLK ; Rise ; RAM:inst1|memory[10][6] ; +; 19.746 ; 19.930 ; 0.184 ; Low Pulse Width ; FPGA_CLK ; Rise ; RAM:inst1|memory[10][7] ; +; 19.746 ; 19.930 ; 0.184 ; Low Pulse Width ; FPGA_CLK ; Rise ; RAM:inst1|memory[178][19] ; +; 19.746 ; 19.930 ; 0.184 ; Low Pulse Width ; FPGA_CLK ; Rise ; RAM:inst1|memory[178][21] ; +; 19.746 ; 19.930 ; 0.184 ; Low Pulse Width ; FPGA_CLK ; Rise ; RAM:inst1|memory[190][19] ; +; 19.746 ; 19.930 ; 0.184 ; Low Pulse Width ; FPGA_CLK ; Rise ; RAM:inst1|memory[242][16] ; +; 19.746 ; 19.930 ; 0.184 ; Low Pulse Width ; FPGA_CLK ; Rise ; RAM:inst1|memory[242][17] ; +; 19.746 ; 19.930 ; 0.184 ; Low Pulse Width ; FPGA_CLK ; Rise ; RAM:inst1|memory[242][18] ; +; 19.746 ; 19.930 ; 0.184 ; Low Pulse Width ; FPGA_CLK ; Rise ; RAM:inst1|memory[242][19] ; +; 19.746 ; 19.930 ; 0.184 ; Low Pulse Width ; FPGA_CLK ; Rise ; RAM:inst1|memory[242][20] ; +; 19.746 ; 19.930 ; 0.184 ; Low Pulse Width ; FPGA_CLK ; Rise ; RAM:inst1|memory[242][21] ; +; 19.746 ; 19.930 ; 0.184 ; Low Pulse Width ; FPGA_CLK ; Rise ; RAM:inst1|memory[242][22] ; +; 19.746 ; 19.930 ; 0.184 ; Low Pulse Width ; FPGA_CLK ; Rise ; RAM:inst1|memory[242][23] ; +; 19.746 ; 19.930 ; 0.184 ; Low Pulse Width ; FPGA_CLK ; Rise ; RAM:inst1|memory[242][25] ; +; 19.746 ; 19.930 ; 0.184 ; Low Pulse Width ; FPGA_CLK ; Rise ; RAM:inst1|memory[242][26] ; +; 19.746 ; 19.930 ; 0.184 ; Low Pulse Width ; FPGA_CLK ; Rise ; RAM:inst1|memory[242][27] ; +; 19.746 ; 19.930 ; 0.184 ; Low Pulse Width ; FPGA_CLK ; Rise ; RAM:inst1|memory[242][28] ; +; 19.746 ; 19.930 ; 0.184 ; Low Pulse Width ; FPGA_CLK ; Rise ; RAM:inst1|memory[242][29] ; +; 19.746 ; 19.930 ; 0.184 ; Low Pulse Width ; FPGA_CLK ; Rise ; RAM:inst1|memory[242][30] ; +; 19.746 ; 19.930 ; 0.184 ; Low Pulse Width ; FPGA_CLK ; Rise ; RAM:inst1|memory[242][31] ; +; 19.746 ; 19.930 ; 0.184 ; Low Pulse Width ; FPGA_CLK ; Rise ; RAM:inst1|memory[26][16] ; +; 19.746 ; 19.930 ; 0.184 ; Low Pulse Width ; FPGA_CLK ; Rise ; RAM:inst1|memory[26][18] ; +; 19.746 ; 19.930 ; 0.184 ; Low Pulse Width ; FPGA_CLK ; Rise ; RAM:inst1|memory[26][19] ; +; 19.746 ; 19.930 ; 0.184 ; Low Pulse Width ; FPGA_CLK ; Rise ; RAM:inst1|memory[26][22] ; +; 19.746 ; 19.930 ; 0.184 ; Low Pulse Width ; FPGA_CLK ; Rise ; RAM:inst1|memory[26][23] ; +; 19.746 ; 19.930 ; 0.184 ; Low Pulse Width ; FPGA_CLK ; Rise ; RAM:inst1|memory[58][16] ; +; 19.746 ; 19.930 ; 0.184 ; Low Pulse Width ; FPGA_CLK ; Rise ; RAM:inst1|memory[58][17] ; +; 19.746 ; 19.930 ; 0.184 ; Low Pulse Width ; FPGA_CLK ; Rise ; RAM:inst1|memory[58][18] ; +; 19.746 ; 19.930 ; 0.184 ; Low Pulse Width ; FPGA_CLK ; Rise ; RAM:inst1|memory[58][19] ; +; 19.746 ; 19.930 ; 0.184 ; Low Pulse Width ; FPGA_CLK ; Rise ; RAM:inst1|memory[58][20] ; +; 19.746 ; 19.930 ; 0.184 ; Low Pulse Width ; FPGA_CLK ; Rise ; RAM:inst1|memory[58][21] ; +; 19.746 ; 19.930 ; 0.184 ; Low Pulse Width ; FPGA_CLK ; Rise ; RAM:inst1|memory[58][22] ; +; 19.746 ; 19.930 ; 0.184 ; Low Pulse Width ; FPGA_CLK ; Rise ; RAM:inst1|memory[58][23] ; +; 19.746 ; 19.930 ; 0.184 ; Low Pulse Width ; FPGA_CLK ; Rise ; RAM:inst1|memory[70][24] ; +; 19.747 ; 19.931 ; 0.184 ; Low Pulse Width ; FPGA_CLK ; Rise ; RAM:inst1|ce0Prev ; +; 19.747 ; 19.931 ; 0.184 ; Low Pulse Width ; FPGA_CLK ; Rise ; RAM:inst1|memory[0][0] ; +; 19.747 ; 19.931 ; 0.184 ; Low Pulse Width ; FPGA_CLK ; Rise ; RAM:inst1|memory[0][1] ; +; 19.747 ; 19.931 ; 0.184 ; Low Pulse Width ; FPGA_CLK ; Rise ; RAM:inst1|memory[0][24] ; +; 19.747 ; 19.931 ; 0.184 ; Low Pulse Width ; FPGA_CLK ; Rise ; RAM:inst1|memory[0][25] ; +; 19.747 ; 19.931 ; 0.184 ; Low Pulse Width ; FPGA_CLK ; Rise ; RAM:inst1|memory[0][26] ; +; 19.747 ; 19.931 ; 0.184 ; Low Pulse Width ; FPGA_CLK ; Rise ; RAM:inst1|memory[0][27] ; +; 19.747 ; 19.931 ; 0.184 ; Low Pulse Width ; FPGA_CLK ; Rise ; RAM:inst1|memory[0][28] ; +; 19.747 ; 19.931 ; 0.184 ; Low Pulse Width ; FPGA_CLK ; Rise ; RAM:inst1|memory[0][29] ; +; 19.747 ; 19.931 ; 0.184 ; Low Pulse Width ; FPGA_CLK ; Rise ; RAM:inst1|memory[0][2] ; +; 19.747 ; 19.931 ; 0.184 ; Low Pulse Width ; FPGA_CLK ; Rise ; RAM:inst1|memory[0][30] ; +; 19.747 ; 19.931 ; 0.184 ; Low Pulse Width ; FPGA_CLK ; Rise ; RAM:inst1|memory[0][31] ; +; 19.747 ; 19.931 ; 0.184 ; Low Pulse Width ; FPGA_CLK ; Rise ; RAM:inst1|memory[0][3] ; +; 19.747 ; 19.931 ; 0.184 ; Low Pulse Width ; FPGA_CLK ; Rise ; RAM:inst1|memory[0][4] ; +; 19.747 ; 19.931 ; 0.184 ; Low Pulse Width ; FPGA_CLK ; Rise ; RAM:inst1|memory[0][5] ; +; 19.747 ; 19.931 ; 0.184 ; Low Pulse Width ; FPGA_CLK ; Rise ; RAM:inst1|memory[0][6] ; +; 19.747 ; 19.931 ; 0.184 ; Low Pulse Width ; FPGA_CLK ; Rise ; RAM:inst1|memory[0][7] ; +; 19.747 ; 19.931 ; 0.184 ; Low Pulse Width ; FPGA_CLK ; Rise ; RAM:inst1|memory[104][30] ; +; 19.747 ; 19.931 ; 0.184 ; Low Pulse Width ; FPGA_CLK ; Rise ; RAM:inst1|memory[104][31] ; +; 19.747 ; 19.931 ; 0.184 ; Low Pulse Width ; FPGA_CLK ; Rise ; RAM:inst1|memory[10][16] ; +; 19.747 ; 19.931 ; 0.184 ; Low Pulse Width ; FPGA_CLK ; Rise ; RAM:inst1|memory[10][18] ; +; 19.747 ; 19.931 ; 0.184 ; Low Pulse Width ; FPGA_CLK ; Rise ; RAM:inst1|memory[10][19] ; +; 19.747 ; 19.931 ; 0.184 ; Low Pulse Width ; FPGA_CLK ; Rise ; RAM:inst1|memory[10][20] ; +; 19.747 ; 19.931 ; 0.184 ; Low Pulse Width ; FPGA_CLK ; Rise ; RAM:inst1|memory[10][21] ; +; 19.747 ; 19.931 ; 0.184 ; Low Pulse Width ; FPGA_CLK ; Rise ; RAM:inst1|memory[10][22] ; +; 19.747 ; 19.931 ; 0.184 ; Low Pulse Width ; FPGA_CLK ; Rise ; RAM:inst1|memory[10][23] ; +; 19.747 ; 19.931 ; 0.184 ; Low Pulse Width ; FPGA_CLK ; Rise ; RAM:inst1|memory[110][0] ; +; 19.747 ; 19.931 ; 0.184 ; Low Pulse Width ; FPGA_CLK ; Rise ; RAM:inst1|memory[110][1] ; +; 19.747 ; 19.931 ; 0.184 ; Low Pulse Width ; FPGA_CLK ; Rise ; RAM:inst1|memory[110][2] ; +; 19.747 ; 19.931 ; 0.184 ; Low Pulse Width ; FPGA_CLK ; Rise ; RAM:inst1|memory[110][3] ; +; 19.747 ; 19.931 ; 0.184 ; Low Pulse Width ; FPGA_CLK ; Rise ; RAM:inst1|memory[110][4] ; +; 19.747 ; 19.931 ; 0.184 ; Low Pulse Width ; FPGA_CLK ; Rise ; RAM:inst1|memory[110][5] ; +; 19.747 ; 19.931 ; 0.184 ; Low Pulse Width ; FPGA_CLK ; Rise ; RAM:inst1|memory[110][6] ; +; 19.747 ; 19.931 ; 0.184 ; Low Pulse Width ; FPGA_CLK ; Rise ; RAM:inst1|memory[110][7] ; +; 19.747 ; 19.931 ; 0.184 ; Low Pulse Width ; FPGA_CLK ; Rise ; RAM:inst1|memory[111][16] ; +; 19.747 ; 19.931 ; 0.184 ; Low Pulse Width ; FPGA_CLK ; Rise ; RAM:inst1|memory[111][17] ; +; 19.747 ; 19.931 ; 0.184 ; Low Pulse Width ; FPGA_CLK ; Rise ; RAM:inst1|memory[111][18] ; +; 19.747 ; 19.931 ; 0.184 ; Low Pulse Width ; FPGA_CLK ; Rise ; RAM:inst1|memory[111][19] ; +; 19.747 ; 19.931 ; 0.184 ; Low Pulse Width ; FPGA_CLK ; Rise ; RAM:inst1|memory[111][20] ; +; 19.747 ; 19.931 ; 0.184 ; Low Pulse Width ; FPGA_CLK ; Rise ; RAM:inst1|memory[111][21] ; +; 19.747 ; 19.931 ; 0.184 ; Low Pulse Width ; FPGA_CLK ; Rise ; RAM:inst1|memory[111][22] ; +; 19.747 ; 19.931 ; 0.184 ; Low Pulse Width ; FPGA_CLK ; Rise ; RAM:inst1|memory[111][23] ; +; 19.747 ; 19.931 ; 0.184 ; Low Pulse Width ; FPGA_CLK ; Rise ; RAM:inst1|memory[113][0] ; +; 19.747 ; 19.931 ; 0.184 ; Low Pulse Width ; FPGA_CLK ; Rise ; RAM:inst1|memory[113][1] ; +; 19.747 ; 19.931 ; 0.184 ; Low Pulse Width ; FPGA_CLK ; Rise ; RAM:inst1|memory[113][2] ; +; 19.747 ; 19.931 ; 0.184 ; Low Pulse Width ; FPGA_CLK ; Rise ; RAM:inst1|memory[113][3] ; +; 19.747 ; 19.931 ; 0.184 ; Low Pulse Width ; FPGA_CLK ; Rise ; RAM:inst1|memory[113][4] ; +; 19.747 ; 19.931 ; 0.184 ; Low Pulse Width ; FPGA_CLK ; Rise ; RAM:inst1|memory[113][5] ; +; 19.747 ; 19.931 ; 0.184 ; Low Pulse Width ; FPGA_CLK ; Rise ; RAM:inst1|memory[113][6] ; +; 19.747 ; 19.931 ; 0.184 ; Low Pulse Width ; FPGA_CLK ; Rise ; RAM:inst1|memory[113][7] ; +; 19.747 ; 19.931 ; 0.184 ; Low Pulse Width ; FPGA_CLK ; Rise ; RAM:inst1|memory[114][0] ; +; 19.747 ; 19.931 ; 0.184 ; Low Pulse Width ; FPGA_CLK ; Rise ; RAM:inst1|memory[114][16] ; +; 19.747 ; 19.931 ; 0.184 ; Low Pulse Width ; FPGA_CLK ; Rise ; RAM:inst1|memory[114][17] ; +; 19.747 ; 19.931 ; 0.184 ; Low Pulse Width ; FPGA_CLK ; Rise ; RAM:inst1|memory[114][18] ; +; 19.747 ; 19.931 ; 0.184 ; Low Pulse Width ; FPGA_CLK ; Rise ; RAM:inst1|memory[114][19] ; +; 19.747 ; 19.931 ; 0.184 ; Low Pulse Width ; FPGA_CLK ; Rise ; RAM:inst1|memory[114][1] ; +; 19.747 ; 19.931 ; 0.184 ; Low Pulse Width ; FPGA_CLK ; Rise ; RAM:inst1|memory[114][20] ; +; 19.747 ; 19.931 ; 0.184 ; Low Pulse Width ; FPGA_CLK ; Rise ; RAM:inst1|memory[114][21] ; +; 19.747 ; 19.931 ; 0.184 ; Low Pulse Width ; FPGA_CLK ; Rise ; RAM:inst1|memory[114][22] ; ++--------+--------------+----------------+-----------------+----------+------------+---------------------------+ -+-------------------------------------------------------------------------+ -; Setup Times ; -+-------------+------------+-------+-------+------------+-----------------+ -; Data Port ; Clock Port ; Rise ; Fall ; Clock Edge ; Clock Reference ; -+-------------+------------+-------+-------+------------+-----------------+ -; Address[*] ; FPGA_CLK ; 3.960 ; 3.902 ; Rise ; FPGA_CLK ; -; Address[0] ; FPGA_CLK ; 3.040 ; 2.981 ; Rise ; FPGA_CLK ; -; Address[1] ; FPGA_CLK ; 3.344 ; 3.351 ; Rise ; FPGA_CLK ; -; Address[2] ; FPGA_CLK ; 3.512 ; 3.513 ; Rise ; FPGA_CLK ; -; Address[3] ; FPGA_CLK ; 3.597 ; 3.603 ; Rise ; FPGA_CLK ; -; Address[4] ; FPGA_CLK ; 3.884 ; 3.902 ; Rise ; FPGA_CLK ; -; Address[5] ; FPGA_CLK ; 3.960 ; 3.872 ; Rise ; FPGA_CLK ; -; Address[6] ; FPGA_CLK ; 3.246 ; 3.353 ; Rise ; FPGA_CLK ; -; Address[7] ; FPGA_CLK ; 2.840 ; 2.829 ; Rise ; FPGA_CLK ; -; Data[*] ; FPGA_CLK ; 2.350 ; 2.536 ; Rise ; FPGA_CLK ; -; Data[0] ; FPGA_CLK ; 2.128 ; 2.251 ; Rise ; FPGA_CLK ; -; Data[1] ; FPGA_CLK ; 2.350 ; 2.536 ; Rise ; FPGA_CLK ; -; Data[2] ; FPGA_CLK ; 2.317 ; 2.512 ; Rise ; FPGA_CLK ; -; Data[3] ; FPGA_CLK ; 2.324 ; 2.513 ; Rise ; FPGA_CLK ; -; Data[4] ; FPGA_CLK ; 2.143 ; 2.310 ; Rise ; FPGA_CLK ; -; Data[5] ; FPGA_CLK ; 2.135 ; 2.330 ; Rise ; FPGA_CLK ; -; Data[6] ; FPGA_CLK ; 2.075 ; 2.264 ; Rise ; FPGA_CLK ; -; Data[7] ; FPGA_CLK ; 2.120 ; 2.274 ; Rise ; FPGA_CLK ; -; nCE ; FPGA_CLK ; 6.299 ; 5.970 ; Rise ; FPGA_CLK ; -; nOE ; FPGA_CLK ; 5.164 ; 5.023 ; Rise ; FPGA_CLK ; -; nWE ; FPGA_CLK ; 4.823 ; 5.232 ; Rise ; FPGA_CLK ; -+-------------+------------+-------+-------+------------+-----------------+ ++---------------------------------------------------------------------------+ +; Setup Times ; ++-------------+------------+--------+--------+------------+-----------------+ +; Data Port ; Clock Port ; Rise ; Fall ; Clock Edge ; Clock Reference ; ++-------------+------------+--------+--------+------------+-----------------+ +; Address[*] ; FPGA_CLK ; 3.961 ; 3.733 ; Rise ; FPGA_CLK ; +; Address[0] ; FPGA_CLK ; 1.961 ; 2.224 ; Rise ; FPGA_CLK ; +; Address[1] ; FPGA_CLK ; 3.961 ; 3.733 ; Rise ; FPGA_CLK ; +; Address[2] ; FPGA_CLK ; 2.635 ; 2.837 ; Rise ; FPGA_CLK ; +; Address[3] ; FPGA_CLK ; 2.673 ; 2.816 ; Rise ; FPGA_CLK ; +; Address[4] ; FPGA_CLK ; 2.212 ; 2.357 ; Rise ; FPGA_CLK ; +; Address[5] ; FPGA_CLK ; 2.490 ; 2.736 ; Rise ; FPGA_CLK ; +; Address[6] ; FPGA_CLK ; 3.505 ; 3.561 ; Rise ; FPGA_CLK ; +; Address[7] ; FPGA_CLK ; 2.170 ; 2.319 ; Rise ; FPGA_CLK ; +; Data[*] ; FPGA_CLK ; 9.442 ; 8.764 ; Rise ; FPGA_CLK ; +; Data[0] ; FPGA_CLK ; 6.583 ; 6.183 ; Rise ; FPGA_CLK ; +; Data[1] ; FPGA_CLK ; 6.875 ; 6.619 ; Rise ; FPGA_CLK ; +; Data[2] ; FPGA_CLK ; 9.442 ; 8.764 ; Rise ; FPGA_CLK ; +; Data[3] ; FPGA_CLK ; 9.348 ; 8.692 ; Rise ; FPGA_CLK ; +; Data[4] ; FPGA_CLK ; 7.612 ; 7.057 ; Rise ; FPGA_CLK ; +; Data[5] ; FPGA_CLK ; 5.766 ; 5.564 ; Rise ; FPGA_CLK ; +; Data[6] ; FPGA_CLK ; 8.368 ; 7.816 ; Rise ; FPGA_CLK ; +; Data[7] ; FPGA_CLK ; 8.752 ; 8.187 ; Rise ; FPGA_CLK ; +; nBL[*] ; FPGA_CLK ; 2.286 ; 2.397 ; Rise ; FPGA_CLK ; +; nBL[0] ; FPGA_CLK ; 1.929 ; 2.083 ; Rise ; FPGA_CLK ; +; nBL[1] ; FPGA_CLK ; 2.286 ; 2.397 ; Rise ; FPGA_CLK ; +; nCE ; FPGA_CLK ; 6.284 ; 7.042 ; Rise ; FPGA_CLK ; +; nOE ; FPGA_CLK ; 2.994 ; 3.145 ; Rise ; FPGA_CLK ; +; nWE ; FPGA_CLK ; 13.447 ; 14.995 ; Rise ; FPGA_CLK ; ++-------------+------------+--------+--------+------------+-----------------+ +---------------------------------------------------------------------------+ @@ -1105,27 +1115,30 @@ No paths to report. +-------------+------------+--------+--------+------------+-----------------+ ; Data Port ; Clock Port ; Rise ; Fall ; Clock Edge ; Clock Reference ; +-------------+------------+--------+--------+------------+-----------------+ -; Address[*] ; FPGA_CLK ; -1.650 ; -1.774 ; Rise ; FPGA_CLK ; -; Address[0] ; FPGA_CLK ; -1.802 ; -1.900 ; Rise ; FPGA_CLK ; -; Address[1] ; FPGA_CLK ; -2.045 ; -2.196 ; Rise ; FPGA_CLK ; -; Address[2] ; FPGA_CLK ; -2.218 ; -2.386 ; Rise ; FPGA_CLK ; -; Address[3] ; FPGA_CLK ; -2.299 ; -2.434 ; Rise ; FPGA_CLK ; -; Address[4] ; FPGA_CLK ; -1.924 ; -2.131 ; Rise ; FPGA_CLK ; -; Address[5] ; FPGA_CLK ; -2.182 ; -2.322 ; Rise ; FPGA_CLK ; -; Address[6] ; FPGA_CLK ; -1.996 ; -2.165 ; Rise ; FPGA_CLK ; -; Address[7] ; FPGA_CLK ; -1.650 ; -1.774 ; Rise ; FPGA_CLK ; -; Data[*] ; FPGA_CLK ; -1.059 ; -1.193 ; Rise ; FPGA_CLK ; -; Data[0] ; FPGA_CLK ; -1.059 ; -1.193 ; Rise ; FPGA_CLK ; -; Data[1] ; FPGA_CLK ; -1.183 ; -1.385 ; Rise ; FPGA_CLK ; -; Data[2] ; FPGA_CLK ; -1.484 ; -1.629 ; Rise ; FPGA_CLK ; -; Data[3] ; FPGA_CLK ; -1.439 ; -1.610 ; Rise ; FPGA_CLK ; -; Data[4] ; FPGA_CLK ; -1.132 ; -1.340 ; Rise ; FPGA_CLK ; -; Data[5] ; FPGA_CLK ; -1.142 ; -1.382 ; Rise ; FPGA_CLK ; -; Data[6] ; FPGA_CLK ; -1.133 ; -1.352 ; Rise ; FPGA_CLK ; -; Data[7] ; FPGA_CLK ; -1.440 ; -1.576 ; Rise ; FPGA_CLK ; -; nCE ; FPGA_CLK ; -1.733 ; -1.984 ; Rise ; FPGA_CLK ; -; nOE ; FPGA_CLK ; -1.944 ; -2.061 ; Rise ; FPGA_CLK ; -; nWE ; FPGA_CLK ; -1.723 ; -1.852 ; Rise ; FPGA_CLK ; +; Address[*] ; FPGA_CLK ; -1.534 ; -1.792 ; Rise ; FPGA_CLK ; +; Address[0] ; FPGA_CLK ; -1.534 ; -1.792 ; Rise ; FPGA_CLK ; +; Address[1] ; FPGA_CLK ; -3.455 ; -3.241 ; Rise ; FPGA_CLK ; +; Address[2] ; FPGA_CLK ; -2.182 ; -2.382 ; Rise ; FPGA_CLK ; +; Address[3] ; FPGA_CLK ; -2.219 ; -2.362 ; Rise ; FPGA_CLK ; +; Address[4] ; FPGA_CLK ; -1.777 ; -1.921 ; Rise ; FPGA_CLK ; +; Address[5] ; FPGA_CLK ; -2.043 ; -2.285 ; Rise ; FPGA_CLK ; +; Address[6] ; FPGA_CLK ; -3.016 ; -3.075 ; Rise ; FPGA_CLK ; +; Address[7] ; FPGA_CLK ; -1.734 ; -1.882 ; Rise ; FPGA_CLK ; +; Data[*] ; FPGA_CLK ; -0.938 ; -1.144 ; Rise ; FPGA_CLK ; +; Data[0] ; FPGA_CLK ; -1.030 ; -1.226 ; Rise ; FPGA_CLK ; +; Data[1] ; FPGA_CLK ; -0.938 ; -1.144 ; Rise ; FPGA_CLK ; +; Data[2] ; FPGA_CLK ; -1.307 ; -1.507 ; Rise ; FPGA_CLK ; +; Data[3] ; FPGA_CLK ; -1.134 ; -1.396 ; Rise ; FPGA_CLK ; +; Data[4] ; FPGA_CLK ; -0.984 ; -1.176 ; Rise ; FPGA_CLK ; +; Data[5] ; FPGA_CLK ; -1.275 ; -1.440 ; Rise ; FPGA_CLK ; +; Data[6] ; FPGA_CLK ; -1.008 ; -1.204 ; Rise ; FPGA_CLK ; +; Data[7] ; FPGA_CLK ; -0.992 ; -1.184 ; Rise ; FPGA_CLK ; +; nBL[*] ; FPGA_CLK ; -1.505 ; -1.655 ; Rise ; FPGA_CLK ; +; nBL[0] ; FPGA_CLK ; -1.505 ; -1.655 ; Rise ; FPGA_CLK ; +; nBL[1] ; FPGA_CLK ; -1.847 ; -1.956 ; Rise ; FPGA_CLK ; +; nCE ; FPGA_CLK ; -0.894 ; -1.154 ; Rise ; FPGA_CLK ; +; nOE ; FPGA_CLK ; -1.150 ; -1.390 ; Rise ; FPGA_CLK ; +; nWE ; FPGA_CLK ; -1.137 ; -1.374 ; Rise ; FPGA_CLK ; +-------------+------------+--------+--------+------------+-----------------+ @@ -1134,16 +1147,16 @@ No paths to report. +------------+------------+-------+-------+------------+--------------------------------------------------+ ; Data Port ; Clock Port ; Rise ; Fall ; Clock Edge ; Clock Reference ; +------------+------------+-------+-------+------------+--------------------------------------------------+ -; Data[*] ; FPGA_CLK ; 9.162 ; 8.528 ; Rise ; FPGA_CLK ; -; Data[0] ; FPGA_CLK ; 6.717 ; 6.373 ; Rise ; FPGA_CLK ; -; Data[1] ; FPGA_CLK ; 6.992 ; 6.576 ; Rise ; FPGA_CLK ; -; Data[2] ; FPGA_CLK ; 7.083 ; 6.723 ; Rise ; FPGA_CLK ; -; Data[3] ; FPGA_CLK ; 7.019 ; 6.593 ; Rise ; FPGA_CLK ; -; Data[4] ; FPGA_CLK ; 8.019 ; 7.595 ; Rise ; FPGA_CLK ; -; Data[5] ; FPGA_CLK ; 7.909 ; 7.430 ; Rise ; FPGA_CLK ; -; Data[6] ; FPGA_CLK ; 9.162 ; 8.528 ; Rise ; FPGA_CLK ; -; Data[7] ; FPGA_CLK ; 7.215 ; 6.910 ; Rise ; FPGA_CLK ; -; FPGA_LED_1 ; FPGA_CLK ; 7.022 ; 7.427 ; Rise ; FPGA_CLK ; +; Data[*] ; FPGA_CLK ; 9.723 ; 8.922 ; Rise ; FPGA_CLK ; +; Data[0] ; FPGA_CLK ; 7.157 ; 6.772 ; Rise ; FPGA_CLK ; +; Data[1] ; FPGA_CLK ; 7.497 ; 7.099 ; Rise ; FPGA_CLK ; +; Data[2] ; FPGA_CLK ; 8.649 ; 8.082 ; Rise ; FPGA_CLK ; +; Data[3] ; FPGA_CLK ; 7.482 ; 7.066 ; Rise ; FPGA_CLK ; +; Data[4] ; FPGA_CLK ; 8.506 ; 7.908 ; Rise ; FPGA_CLK ; +; Data[5] ; FPGA_CLK ; 8.388 ; 7.895 ; Rise ; FPGA_CLK ; +; Data[6] ; FPGA_CLK ; 9.723 ; 8.922 ; Rise ; FPGA_CLK ; +; Data[7] ; FPGA_CLK ; 6.955 ; 6.660 ; Rise ; FPGA_CLK ; +; FPGA_LED_1 ; FPGA_CLK ; 5.678 ; 5.817 ; Rise ; FPGA_CLK ; ; FPGA_LED_2 ; FPGA_CLK ; 2.836 ; ; Rise ; inst|altpll_component|auto_generated|pll1|clk[0] ; ; FPGA_LED_2 ; FPGA_CLK ; ; 2.656 ; Fall ; inst|altpll_component|auto_generated|pll1|clk[0] ; ; FPGA_LED_3 ; FPGA_CLK ; 2.836 ; ; Rise ; inst|altpll_component|auto_generated|pll1|clk[1] ; @@ -1156,16 +1169,16 @@ No paths to report. +------------+------------+-------+-------+------------+--------------------------------------------------+ ; Data Port ; Clock Port ; Rise ; Fall ; Clock Edge ; Clock Reference ; +------------+------------+-------+-------+------------+--------------------------------------------------+ -; Data[*] ; FPGA_CLK ; 6.567 ; 6.233 ; Rise ; FPGA_CLK ; -; Data[0] ; FPGA_CLK ; 6.567 ; 6.233 ; Rise ; FPGA_CLK ; -; Data[1] ; FPGA_CLK ; 6.832 ; 6.428 ; Rise ; FPGA_CLK ; -; Data[2] ; FPGA_CLK ; 6.919 ; 6.569 ; Rise ; FPGA_CLK ; -; Data[3] ; FPGA_CLK ; 6.858 ; 6.444 ; Rise ; FPGA_CLK ; -; Data[4] ; FPGA_CLK ; 7.817 ; 7.405 ; Rise ; FPGA_CLK ; -; Data[5] ; FPGA_CLK ; 7.711 ; 7.247 ; Rise ; FPGA_CLK ; -; Data[6] ; FPGA_CLK ; 9.003 ; 8.375 ; Rise ; FPGA_CLK ; -; Data[7] ; FPGA_CLK ; 7.045 ; 6.747 ; Rise ; FPGA_CLK ; -; FPGA_LED_1 ; FPGA_CLK ; 6.856 ; 7.249 ; Rise ; FPGA_CLK ; +; Data[*] ; FPGA_CLK ; 6.796 ; 6.508 ; Rise ; FPGA_CLK ; +; Data[0] ; FPGA_CLK ; 6.990 ; 6.615 ; Rise ; FPGA_CLK ; +; Data[1] ; FPGA_CLK ; 7.317 ; 6.930 ; Rise ; FPGA_CLK ; +; Data[2] ; FPGA_CLK ; 8.422 ; 7.873 ; Rise ; FPGA_CLK ; +; Data[3] ; FPGA_CLK ; 7.303 ; 6.899 ; Rise ; FPGA_CLK ; +; Data[4] ; FPGA_CLK ; 8.285 ; 7.706 ; Rise ; FPGA_CLK ; +; Data[5] ; FPGA_CLK ; 8.171 ; 7.694 ; Rise ; FPGA_CLK ; +; Data[6] ; FPGA_CLK ; 9.543 ; 8.754 ; Rise ; FPGA_CLK ; +; Data[7] ; FPGA_CLK ; 6.796 ; 6.508 ; Rise ; FPGA_CLK ; +; FPGA_LED_1 ; FPGA_CLK ; 5.564 ; 5.702 ; Rise ; FPGA_CLK ; ; FPGA_LED_2 ; FPGA_CLK ; 2.424 ; ; Rise ; inst|altpll_component|auto_generated|pll1|clk[0] ; ; FPGA_LED_2 ; FPGA_CLK ; ; 2.247 ; Fall ; inst|altpll_component|auto_generated|pll1|clk[0] ; ; FPGA_LED_3 ; FPGA_CLK ; 2.424 ; ; Rise ; inst|altpll_component|auto_generated|pll1|clk[1] ; @@ -1178,15 +1191,15 @@ No paths to report. +-----------+------------+-------+-------+------------+-----------------+ ; Data Port ; Clock Port ; Rise ; Fall ; Clock Edge ; Clock Reference ; +-----------+------------+-------+-------+------------+-----------------+ -; Data[*] ; FPGA_CLK ; 6.481 ; 6.481 ; Rise ; FPGA_CLK ; -; Data[0] ; FPGA_CLK ; 6.481 ; 6.481 ; Rise ; FPGA_CLK ; -; Data[1] ; FPGA_CLK ; 6.835 ; 6.835 ; Rise ; FPGA_CLK ; -; Data[2] ; FPGA_CLK ; 6.782 ; 6.782 ; Rise ; FPGA_CLK ; -; Data[3] ; FPGA_CLK ; 6.820 ; 6.820 ; Rise ; FPGA_CLK ; -; Data[4] ; FPGA_CLK ; 7.396 ; 7.396 ; Rise ; FPGA_CLK ; -; Data[5] ; FPGA_CLK ; 7.422 ; 7.422 ; Rise ; FPGA_CLK ; -; Data[6] ; FPGA_CLK ; 7.064 ; 7.064 ; Rise ; FPGA_CLK ; -; Data[7] ; FPGA_CLK ; 7.206 ; 7.206 ; Rise ; FPGA_CLK ; +; Data[*] ; FPGA_CLK ; 6.345 ; 6.345 ; Rise ; FPGA_CLK ; +; Data[0] ; FPGA_CLK ; 7.209 ; 7.209 ; Rise ; FPGA_CLK ; +; Data[1] ; FPGA_CLK ; 7.784 ; 7.784 ; Rise ; FPGA_CLK ; +; Data[2] ; FPGA_CLK ; 8.431 ; 8.431 ; Rise ; FPGA_CLK ; +; Data[3] ; FPGA_CLK ; 6.884 ; 6.884 ; Rise ; FPGA_CLK ; +; Data[4] ; FPGA_CLK ; 6.345 ; 6.345 ; Rise ; FPGA_CLK ; +; Data[5] ; FPGA_CLK ; 6.393 ; 6.393 ; Rise ; FPGA_CLK ; +; Data[6] ; FPGA_CLK ; 8.113 ; 8.113 ; Rise ; FPGA_CLK ; +; Data[7] ; FPGA_CLK ; 7.130 ; 7.130 ; Rise ; FPGA_CLK ; +-----------+------------+-------+-------+------------+-----------------+ @@ -1195,15 +1208,15 @@ No paths to report. +-----------+------------+-------+-------+------------+-----------------+ ; Data Port ; Clock Port ; Rise ; Fall ; Clock Edge ; Clock Reference ; +-----------+------------+-------+-------+------------+-----------------+ -; Data[*] ; FPGA_CLK ; 6.016 ; 6.016 ; Rise ; FPGA_CLK ; -; Data[0] ; FPGA_CLK ; 6.016 ; 6.016 ; Rise ; FPGA_CLK ; -; Data[1] ; FPGA_CLK ; 6.357 ; 6.357 ; Rise ; FPGA_CLK ; -; Data[2] ; FPGA_CLK ; 6.305 ; 6.305 ; Rise ; FPGA_CLK ; -; Data[3] ; FPGA_CLK ; 6.342 ; 6.342 ; Rise ; FPGA_CLK ; -; Data[4] ; FPGA_CLK ; 6.895 ; 6.895 ; Rise ; FPGA_CLK ; -; Data[5] ; FPGA_CLK ; 6.919 ; 6.919 ; Rise ; FPGA_CLK ; -; Data[6] ; FPGA_CLK ; 6.575 ; 6.575 ; Rise ; FPGA_CLK ; -; Data[7] ; FPGA_CLK ; 6.711 ; 6.711 ; Rise ; FPGA_CLK ; +; Data[*] ; FPGA_CLK ; 5.885 ; 5.885 ; Rise ; FPGA_CLK ; +; Data[0] ; FPGA_CLK ; 6.715 ; 6.715 ; Rise ; FPGA_CLK ; +; Data[1] ; FPGA_CLK ; 7.267 ; 7.267 ; Rise ; FPGA_CLK ; +; Data[2] ; FPGA_CLK ; 7.888 ; 7.888 ; Rise ; FPGA_CLK ; +; Data[3] ; FPGA_CLK ; 6.403 ; 6.403 ; Rise ; FPGA_CLK ; +; Data[4] ; FPGA_CLK ; 5.885 ; 5.885 ; Rise ; FPGA_CLK ; +; Data[5] ; FPGA_CLK ; 5.931 ; 5.931 ; Rise ; FPGA_CLK ; +; Data[6] ; FPGA_CLK ; 7.582 ; 7.582 ; Rise ; FPGA_CLK ; +; Data[7] ; FPGA_CLK ; 6.639 ; 6.639 ; Rise ; FPGA_CLK ; +-----------+------------+-------+-------+------------+-----------------+ @@ -1212,15 +1225,15 @@ No paths to report. +-----------+------------+-----------+-----------+------------+-----------------+ ; Data Port ; Clock Port ; 0 to Hi-Z ; 1 to Hi-Z ; Clock Edge ; Clock Reference ; +-----------+------------+-----------+-----------+------------+-----------------+ -; Data[*] ; FPGA_CLK ; 6.160 ; 6.259 ; Rise ; FPGA_CLK ; -; Data[0] ; FPGA_CLK ; 6.160 ; 6.259 ; Rise ; FPGA_CLK ; -; Data[1] ; FPGA_CLK ; 6.403 ; 6.502 ; Rise ; FPGA_CLK ; -; Data[2] ; FPGA_CLK ; 6.370 ; 6.469 ; Rise ; FPGA_CLK ; -; Data[3] ; FPGA_CLK ; 6.392 ; 6.491 ; Rise ; FPGA_CLK ; -; Data[4] ; FPGA_CLK ; 7.006 ; 7.105 ; Rise ; FPGA_CLK ; -; Data[5] ; FPGA_CLK ; 7.026 ; 7.125 ; Rise ; FPGA_CLK ; -; Data[6] ; FPGA_CLK ; 6.733 ; 6.832 ; Rise ; FPGA_CLK ; -; Data[7] ; FPGA_CLK ; 6.752 ; 6.851 ; Rise ; FPGA_CLK ; +; Data[*] ; FPGA_CLK ; 6.134 ; 6.233 ; Rise ; FPGA_CLK ; +; Data[0] ; FPGA_CLK ; 6.952 ; 7.051 ; Rise ; FPGA_CLK ; +; Data[1] ; FPGA_CLK ; 7.381 ; 7.480 ; Rise ; FPGA_CLK ; +; Data[2] ; FPGA_CLK ; 7.836 ; 7.935 ; Rise ; FPGA_CLK ; +; Data[3] ; FPGA_CLK ; 6.529 ; 6.628 ; Rise ; FPGA_CLK ; +; Data[4] ; FPGA_CLK ; 6.134 ; 6.233 ; Rise ; FPGA_CLK ; +; Data[5] ; FPGA_CLK ; 6.212 ; 6.311 ; Rise ; FPGA_CLK ; +; Data[6] ; FPGA_CLK ; 7.646 ; 7.745 ; Rise ; FPGA_CLK ; +; Data[7] ; FPGA_CLK ; 6.817 ; 6.916 ; Rise ; FPGA_CLK ; +-----------+------------+-----------+-----------+------------+-----------------+ @@ -1229,15 +1242,15 @@ No paths to report. +-----------+------------+-----------+-----------+------------+-----------------+ ; Data Port ; Clock Port ; 0 to Hi-Z ; 1 to Hi-Z ; Clock Edge ; Clock Reference ; +-----------+------------+-----------+-----------+------------+-----------------+ -; Data[*] ; FPGA_CLK ; 5.803 ; 5.934 ; Rise ; FPGA_CLK ; -; Data[0] ; FPGA_CLK ; 5.803 ; 5.934 ; Rise ; FPGA_CLK ; -; Data[1] ; FPGA_CLK ; 6.037 ; 6.168 ; Rise ; FPGA_CLK ; -; Data[2] ; FPGA_CLK ; 6.005 ; 6.136 ; Rise ; FPGA_CLK ; -; Data[3] ; FPGA_CLK ; 6.026 ; 6.157 ; Rise ; FPGA_CLK ; -; Data[4] ; FPGA_CLK ; 6.615 ; 6.746 ; Rise ; FPGA_CLK ; -; Data[5] ; FPGA_CLK ; 6.634 ; 6.765 ; Rise ; FPGA_CLK ; -; Data[6] ; FPGA_CLK ; 6.353 ; 6.484 ; Rise ; FPGA_CLK ; -; Data[7] ; FPGA_CLK ; 6.371 ; 6.502 ; Rise ; FPGA_CLK ; +; Data[*] ; FPGA_CLK ; 5.777 ; 5.908 ; Rise ; FPGA_CLK ; +; Data[0] ; FPGA_CLK ; 6.563 ; 6.694 ; Rise ; FPGA_CLK ; +; Data[1] ; FPGA_CLK ; 6.975 ; 7.106 ; Rise ; FPGA_CLK ; +; Data[2] ; FPGA_CLK ; 7.412 ; 7.543 ; Rise ; FPGA_CLK ; +; Data[3] ; FPGA_CLK ; 6.157 ; 6.288 ; Rise ; FPGA_CLK ; +; Data[4] ; FPGA_CLK ; 5.777 ; 5.908 ; Rise ; FPGA_CLK ; +; Data[5] ; FPGA_CLK ; 5.852 ; 5.983 ; Rise ; FPGA_CLK ; +; Data[6] ; FPGA_CLK ; 7.229 ; 7.360 ; Rise ; FPGA_CLK ; +; Data[7] ; FPGA_CLK ; 6.433 ; 6.564 ; Rise ; FPGA_CLK ; +-----------+------------+-----------+-----------+------------+-----------------+ @@ -1252,7 +1265,7 @@ No synchronizer chains to report. +----------+--------+----------------+ ; Clock ; Slack ; End Point TNS ; +----------+--------+----------------+ -; FPGA_CLK ; 37.258 ; 0.000 ; +; FPGA_CLK ; 30.708 ; 0.000 ; +----------+--------+----------------+ @@ -1282,332 +1295,332 @@ No paths to report. +----------+--------+------------------------------+ ; Clock ; Slack ; End Point TNS ; +----------+--------+------------------------------+ -; FPGA_CLK ; 19.206 ; 0.000 ; +; FPGA_CLK ; 19.267 ; 0.000 ; +----------+--------+------------------------------+ -+----------------------------------------------------------------------------------------------------------------------------------------------+ -; Fast 1200mV 0C Model Setup: 'FPGA_CLK' ; -+--------+----------------------------------+----------------------------+--------------+-------------+--------------+------------+------------+ -; Slack ; From Node ; To Node ; Launch Clock ; Latch Clock ; Relationship ; Clock Skew ; Data Delay ; -+--------+----------------------------------+----------------------------+--------------+-------------+--------------+------------+------------+ -; 37.258 ; LedBlink:inst2|counter[13] ; LedBlink:inst2|counter[0] ; FPGA_CLK ; FPGA_CLK ; 40.000 ; -0.034 ; 2.695 ; -; 37.258 ; LedBlink:inst2|counter[13] ; LedBlink:inst2|counter[1] ; FPGA_CLK ; FPGA_CLK ; 40.000 ; -0.034 ; 2.695 ; -; 37.258 ; LedBlink:inst2|counter[13] ; LedBlink:inst2|counter[2] ; FPGA_CLK ; FPGA_CLK ; 40.000 ; -0.034 ; 2.695 ; -; 37.258 ; LedBlink:inst2|counter[13] ; LedBlink:inst2|counter[3] ; FPGA_CLK ; FPGA_CLK ; 40.000 ; -0.034 ; 2.695 ; -; 37.258 ; LedBlink:inst2|counter[13] ; LedBlink:inst2|counter[4] ; FPGA_CLK ; FPGA_CLK ; 40.000 ; -0.034 ; 2.695 ; -; 37.258 ; LedBlink:inst2|counter[13] ; LedBlink:inst2|counter[5] ; FPGA_CLK ; FPGA_CLK ; 40.000 ; -0.034 ; 2.695 ; -; 37.258 ; LedBlink:inst2|counter[13] ; LedBlink:inst2|counter[6] ; FPGA_CLK ; FPGA_CLK ; 40.000 ; -0.034 ; 2.695 ; -; 37.258 ; LedBlink:inst2|counter[13] ; LedBlink:inst2|counter[7] ; FPGA_CLK ; FPGA_CLK ; 40.000 ; -0.034 ; 2.695 ; -; 37.258 ; LedBlink:inst2|counter[13] ; LedBlink:inst2|counter[8] ; FPGA_CLK ; FPGA_CLK ; 40.000 ; -0.034 ; 2.695 ; -; 37.258 ; LedBlink:inst2|counter[13] ; LedBlink:inst2|counter[9] ; FPGA_CLK ; FPGA_CLK ; 40.000 ; -0.034 ; 2.695 ; -; 37.258 ; LedBlink:inst2|counter[13] ; LedBlink:inst2|counter[10] ; FPGA_CLK ; FPGA_CLK ; 40.000 ; -0.034 ; 2.695 ; -; 37.258 ; LedBlink:inst2|counter[13] ; LedBlink:inst2|counter[11] ; FPGA_CLK ; FPGA_CLK ; 40.000 ; -0.034 ; 2.695 ; -; 37.276 ; LedBlink:inst2|counter[16] ; LedBlink:inst2|counter[0] ; FPGA_CLK ; FPGA_CLK ; 40.000 ; -0.034 ; 2.677 ; -; 37.276 ; LedBlink:inst2|counter[16] ; LedBlink:inst2|counter[1] ; FPGA_CLK ; FPGA_CLK ; 40.000 ; -0.034 ; 2.677 ; -; 37.276 ; LedBlink:inst2|counter[16] ; LedBlink:inst2|counter[2] ; FPGA_CLK ; FPGA_CLK ; 40.000 ; -0.034 ; 2.677 ; -; 37.276 ; LedBlink:inst2|counter[16] ; LedBlink:inst2|counter[3] ; FPGA_CLK ; FPGA_CLK ; 40.000 ; -0.034 ; 2.677 ; -; 37.276 ; LedBlink:inst2|counter[16] ; LedBlink:inst2|counter[4] ; FPGA_CLK ; FPGA_CLK ; 40.000 ; -0.034 ; 2.677 ; -; 37.276 ; LedBlink:inst2|counter[16] ; LedBlink:inst2|counter[5] ; FPGA_CLK ; FPGA_CLK ; 40.000 ; -0.034 ; 2.677 ; -; 37.276 ; LedBlink:inst2|counter[16] ; LedBlink:inst2|counter[6] ; FPGA_CLK ; FPGA_CLK ; 40.000 ; -0.034 ; 2.677 ; -; 37.276 ; LedBlink:inst2|counter[16] ; LedBlink:inst2|counter[7] ; FPGA_CLK ; FPGA_CLK ; 40.000 ; -0.034 ; 2.677 ; -; 37.276 ; LedBlink:inst2|counter[16] ; LedBlink:inst2|counter[8] ; FPGA_CLK ; FPGA_CLK ; 40.000 ; -0.034 ; 2.677 ; -; 37.276 ; LedBlink:inst2|counter[16] ; LedBlink:inst2|counter[9] ; FPGA_CLK ; FPGA_CLK ; 40.000 ; -0.034 ; 2.677 ; -; 37.276 ; LedBlink:inst2|counter[16] ; LedBlink:inst2|counter[10] ; FPGA_CLK ; FPGA_CLK ; 40.000 ; -0.034 ; 2.677 ; -; 37.276 ; LedBlink:inst2|counter[16] ; LedBlink:inst2|counter[11] ; FPGA_CLK ; FPGA_CLK ; 40.000 ; -0.034 ; 2.677 ; -; 37.349 ; RAM:inst3|memory_rtl_0_bypass[6] ; RAM:inst3|data0[6]~reg0 ; FPGA_CLK ; FPGA_CLK ; 40.000 ; -0.029 ; 2.609 ; -; 37.353 ; RAM:inst3|memory_rtl_0_bypass[6] ; RAM:inst3|data0[4]~reg0 ; FPGA_CLK ; FPGA_CLK ; 40.000 ; -0.029 ; 2.605 ; -; 37.354 ; RAM:inst3|memory_rtl_0_bypass[6] ; RAM:inst3|data0[0]~reg0 ; FPGA_CLK ; FPGA_CLK ; 40.000 ; -0.029 ; 2.604 ; -; 37.358 ; LedBlink:inst2|counter[15] ; LedBlink:inst2|counter[0] ; FPGA_CLK ; FPGA_CLK ; 40.000 ; -0.034 ; 2.595 ; -; 37.358 ; LedBlink:inst2|counter[15] ; LedBlink:inst2|counter[1] ; FPGA_CLK ; FPGA_CLK ; 40.000 ; -0.034 ; 2.595 ; -; 37.358 ; LedBlink:inst2|counter[15] ; LedBlink:inst2|counter[2] ; FPGA_CLK ; FPGA_CLK ; 40.000 ; -0.034 ; 2.595 ; -; 37.358 ; LedBlink:inst2|counter[15] ; LedBlink:inst2|counter[3] ; FPGA_CLK ; FPGA_CLK ; 40.000 ; -0.034 ; 2.595 ; -; 37.358 ; LedBlink:inst2|counter[15] ; LedBlink:inst2|counter[4] ; FPGA_CLK ; FPGA_CLK ; 40.000 ; -0.034 ; 2.595 ; -; 37.358 ; LedBlink:inst2|counter[15] ; LedBlink:inst2|counter[5] ; FPGA_CLK ; FPGA_CLK ; 40.000 ; -0.034 ; 2.595 ; -; 37.358 ; LedBlink:inst2|counter[15] ; LedBlink:inst2|counter[6] ; FPGA_CLK ; FPGA_CLK ; 40.000 ; -0.034 ; 2.595 ; -; 37.358 ; LedBlink:inst2|counter[15] ; LedBlink:inst2|counter[7] ; FPGA_CLK ; FPGA_CLK ; 40.000 ; -0.034 ; 2.595 ; -; 37.358 ; LedBlink:inst2|counter[15] ; LedBlink:inst2|counter[8] ; FPGA_CLK ; FPGA_CLK ; 40.000 ; -0.034 ; 2.595 ; -; 37.358 ; LedBlink:inst2|counter[15] ; LedBlink:inst2|counter[9] ; FPGA_CLK ; FPGA_CLK ; 40.000 ; -0.034 ; 2.595 ; -; 37.358 ; LedBlink:inst2|counter[15] ; LedBlink:inst2|counter[10] ; FPGA_CLK ; FPGA_CLK ; 40.000 ; -0.034 ; 2.595 ; -; 37.358 ; LedBlink:inst2|counter[15] ; LedBlink:inst2|counter[11] ; FPGA_CLK ; FPGA_CLK ; 40.000 ; -0.034 ; 2.595 ; -; 37.362 ; RAM:inst3|memory_rtl_0_bypass[6] ; RAM:inst3|data0[7]~reg0 ; FPGA_CLK ; FPGA_CLK ; 40.000 ; -0.029 ; 2.596 ; -; 37.363 ; RAM:inst3|memory_rtl_0_bypass[6] ; RAM:inst3|data0[1]~reg0 ; FPGA_CLK ; FPGA_CLK ; 40.000 ; -0.029 ; 2.595 ; -; 37.363 ; RAM:inst3|memory_rtl_0_bypass[6] ; RAM:inst3|data0[2]~reg0 ; FPGA_CLK ; FPGA_CLK ; 40.000 ; -0.029 ; 2.595 ; -; 37.366 ; RAM:inst3|memory_rtl_0_bypass[6] ; RAM:inst3|data0[3]~reg0 ; FPGA_CLK ; FPGA_CLK ; 40.000 ; -0.029 ; 2.592 ; -; 37.415 ; LedBlink:inst2|counter[6] ; LedBlink:inst2|counter[0] ; FPGA_CLK ; FPGA_CLK ; 40.000 ; -0.036 ; 2.536 ; -; 37.415 ; LedBlink:inst2|counter[6] ; LedBlink:inst2|counter[1] ; FPGA_CLK ; FPGA_CLK ; 40.000 ; -0.036 ; 2.536 ; -; 37.415 ; LedBlink:inst2|counter[6] ; LedBlink:inst2|counter[2] ; FPGA_CLK ; FPGA_CLK ; 40.000 ; -0.036 ; 2.536 ; -; 37.415 ; LedBlink:inst2|counter[6] ; LedBlink:inst2|counter[3] ; FPGA_CLK ; FPGA_CLK ; 40.000 ; -0.036 ; 2.536 ; -; 37.415 ; LedBlink:inst2|counter[6] ; LedBlink:inst2|counter[4] ; FPGA_CLK ; FPGA_CLK ; 40.000 ; -0.036 ; 2.536 ; -; 37.415 ; LedBlink:inst2|counter[6] ; LedBlink:inst2|counter[5] ; FPGA_CLK ; FPGA_CLK ; 40.000 ; -0.036 ; 2.536 ; -; 37.415 ; LedBlink:inst2|counter[6] ; LedBlink:inst2|counter[6] ; FPGA_CLK ; FPGA_CLK ; 40.000 ; -0.036 ; 2.536 ; -; 37.415 ; LedBlink:inst2|counter[6] ; LedBlink:inst2|counter[7] ; FPGA_CLK ; FPGA_CLK ; 40.000 ; -0.036 ; 2.536 ; -; 37.415 ; LedBlink:inst2|counter[6] ; LedBlink:inst2|counter[8] ; FPGA_CLK ; FPGA_CLK ; 40.000 ; -0.036 ; 2.536 ; -; 37.415 ; LedBlink:inst2|counter[6] ; LedBlink:inst2|counter[9] ; FPGA_CLK ; FPGA_CLK ; 40.000 ; -0.036 ; 2.536 ; -; 37.415 ; LedBlink:inst2|counter[6] ; LedBlink:inst2|counter[10] ; FPGA_CLK ; FPGA_CLK ; 40.000 ; -0.036 ; 2.536 ; -; 37.415 ; LedBlink:inst2|counter[6] ; LedBlink:inst2|counter[11] ; FPGA_CLK ; FPGA_CLK ; 40.000 ; -0.036 ; 2.536 ; -; 37.445 ; RAM:inst3|memory_rtl_0_bypass[6] ; RAM:inst3|data0[5]~reg0 ; FPGA_CLK ; FPGA_CLK ; 40.000 ; -0.029 ; 2.513 ; -; 37.490 ; RAM:inst3|memory_rtl_0_bypass[2] ; RAM:inst3|data0[6]~reg0 ; FPGA_CLK ; FPGA_CLK ; 40.000 ; -0.029 ; 2.468 ; -; 37.494 ; RAM:inst3|memory_rtl_0_bypass[2] ; RAM:inst3|data0[4]~reg0 ; FPGA_CLK ; FPGA_CLK ; 40.000 ; -0.029 ; 2.464 ; -; 37.495 ; RAM:inst3|memory_rtl_0_bypass[2] ; RAM:inst3|data0[0]~reg0 ; FPGA_CLK ; FPGA_CLK ; 40.000 ; -0.029 ; 2.463 ; -; 37.503 ; LedBlink:inst2|counter[16] ; LedBlink:inst2|counter[15] ; FPGA_CLK ; FPGA_CLK ; 40.000 ; -0.036 ; 2.448 ; -; 37.503 ; LedBlink:inst2|counter[16] ; LedBlink:inst2|counter[12] ; FPGA_CLK ; FPGA_CLK ; 40.000 ; -0.036 ; 2.448 ; -; 37.503 ; LedBlink:inst2|counter[16] ; LedBlink:inst2|counter[13] ; FPGA_CLK ; FPGA_CLK ; 40.000 ; -0.036 ; 2.448 ; -; 37.503 ; LedBlink:inst2|counter[16] ; LedBlink:inst2|counter[14] ; FPGA_CLK ; FPGA_CLK ; 40.000 ; -0.036 ; 2.448 ; -; 37.503 ; LedBlink:inst2|counter[16] ; LedBlink:inst2|counter[16] ; FPGA_CLK ; FPGA_CLK ; 40.000 ; -0.036 ; 2.448 ; -; 37.503 ; LedBlink:inst2|counter[16] ; LedBlink:inst2|counter[17] ; FPGA_CLK ; FPGA_CLK ; 40.000 ; -0.036 ; 2.448 ; -; 37.503 ; LedBlink:inst2|counter[16] ; LedBlink:inst2|counter[22] ; FPGA_CLK ; FPGA_CLK ; 40.000 ; -0.036 ; 2.448 ; -; 37.503 ; LedBlink:inst2|counter[16] ; LedBlink:inst2|counter[18] ; FPGA_CLK ; FPGA_CLK ; 40.000 ; -0.036 ; 2.448 ; -; 37.503 ; LedBlink:inst2|counter[16] ; LedBlink:inst2|counter[19] ; FPGA_CLK ; FPGA_CLK ; 40.000 ; -0.036 ; 2.448 ; -; 37.503 ; LedBlink:inst2|counter[16] ; LedBlink:inst2|counter[20] ; FPGA_CLK ; FPGA_CLK ; 40.000 ; -0.036 ; 2.448 ; -; 37.503 ; LedBlink:inst2|counter[16] ; LedBlink:inst2|counter[21] ; FPGA_CLK ; FPGA_CLK ; 40.000 ; -0.036 ; 2.448 ; -; 37.503 ; LedBlink:inst2|counter[16] ; LedBlink:inst2|counter[23] ; FPGA_CLK ; FPGA_CLK ; 40.000 ; -0.036 ; 2.448 ; -; 37.503 ; RAM:inst3|memory_rtl_0_bypass[2] ; RAM:inst3|data0[7]~reg0 ; FPGA_CLK ; FPGA_CLK ; 40.000 ; -0.029 ; 2.455 ; -; 37.504 ; LedBlink:inst2|counter[13] ; LedBlink:inst2|counter[15] ; FPGA_CLK ; FPGA_CLK ; 40.000 ; -0.036 ; 2.447 ; -; 37.504 ; LedBlink:inst2|counter[13] ; LedBlink:inst2|counter[12] ; FPGA_CLK ; FPGA_CLK ; 40.000 ; -0.036 ; 2.447 ; -; 37.504 ; LedBlink:inst2|counter[13] ; LedBlink:inst2|counter[13] ; FPGA_CLK ; FPGA_CLK ; 40.000 ; -0.036 ; 2.447 ; -; 37.504 ; LedBlink:inst2|counter[13] ; LedBlink:inst2|counter[14] ; FPGA_CLK ; FPGA_CLK ; 40.000 ; -0.036 ; 2.447 ; -; 37.504 ; LedBlink:inst2|counter[13] ; LedBlink:inst2|counter[16] ; FPGA_CLK ; FPGA_CLK ; 40.000 ; -0.036 ; 2.447 ; -; 37.504 ; LedBlink:inst2|counter[13] ; LedBlink:inst2|counter[17] ; FPGA_CLK ; FPGA_CLK ; 40.000 ; -0.036 ; 2.447 ; -; 37.504 ; LedBlink:inst2|counter[13] ; LedBlink:inst2|counter[22] ; FPGA_CLK ; FPGA_CLK ; 40.000 ; -0.036 ; 2.447 ; -; 37.504 ; LedBlink:inst2|counter[13] ; LedBlink:inst2|counter[18] ; FPGA_CLK ; FPGA_CLK ; 40.000 ; -0.036 ; 2.447 ; -; 37.504 ; LedBlink:inst2|counter[13] ; LedBlink:inst2|counter[19] ; FPGA_CLK ; FPGA_CLK ; 40.000 ; -0.036 ; 2.447 ; -; 37.504 ; LedBlink:inst2|counter[13] ; LedBlink:inst2|counter[20] ; FPGA_CLK ; FPGA_CLK ; 40.000 ; -0.036 ; 2.447 ; -; 37.504 ; LedBlink:inst2|counter[13] ; LedBlink:inst2|counter[21] ; FPGA_CLK ; FPGA_CLK ; 40.000 ; -0.036 ; 2.447 ; -; 37.504 ; LedBlink:inst2|counter[13] ; LedBlink:inst2|counter[23] ; FPGA_CLK ; FPGA_CLK ; 40.000 ; -0.036 ; 2.447 ; -; 37.504 ; RAM:inst3|memory_rtl_0_bypass[2] ; RAM:inst3|data0[1]~reg0 ; FPGA_CLK ; FPGA_CLK ; 40.000 ; -0.029 ; 2.454 ; -; 37.504 ; RAM:inst3|memory_rtl_0_bypass[2] ; RAM:inst3|data0[2]~reg0 ; FPGA_CLK ; FPGA_CLK ; 40.000 ; -0.029 ; 2.454 ; -; 37.507 ; RAM:inst3|memory_rtl_0_bypass[2] ; RAM:inst3|data0[3]~reg0 ; FPGA_CLK ; FPGA_CLK ; 40.000 ; -0.029 ; 2.451 ; -; 37.524 ; RAM:inst3|memory_rtl_0_bypass[8] ; RAM:inst3|data0[6]~reg0 ; FPGA_CLK ; FPGA_CLK ; 40.000 ; -0.029 ; 2.434 ; -; 37.528 ; RAM:inst3|memory_rtl_0_bypass[8] ; RAM:inst3|data0[4]~reg0 ; FPGA_CLK ; FPGA_CLK ; 40.000 ; -0.029 ; 2.430 ; -; 37.529 ; RAM:inst3|memory_rtl_0_bypass[8] ; RAM:inst3|data0[0]~reg0 ; FPGA_CLK ; FPGA_CLK ; 40.000 ; -0.029 ; 2.429 ; -; 37.537 ; RAM:inst3|memory_rtl_0_bypass[8] ; RAM:inst3|data0[7]~reg0 ; FPGA_CLK ; FPGA_CLK ; 40.000 ; -0.029 ; 2.421 ; -; 37.538 ; RAM:inst3|memory_rtl_0_bypass[8] ; RAM:inst3|data0[1]~reg0 ; FPGA_CLK ; FPGA_CLK ; 40.000 ; -0.029 ; 2.420 ; -; 37.538 ; RAM:inst3|memory_rtl_0_bypass[8] ; RAM:inst3|data0[2]~reg0 ; FPGA_CLK ; FPGA_CLK ; 40.000 ; -0.029 ; 2.420 ; -; 37.541 ; RAM:inst3|memory_rtl_0_bypass[8] ; RAM:inst3|data0[3]~reg0 ; FPGA_CLK ; FPGA_CLK ; 40.000 ; -0.029 ; 2.417 ; -; 37.586 ; LedBlink:inst2|counter[15] ; LedBlink:inst2|counter[15] ; FPGA_CLK ; FPGA_CLK ; 40.000 ; -0.036 ; 2.365 ; -; 37.586 ; LedBlink:inst2|counter[15] ; LedBlink:inst2|counter[12] ; FPGA_CLK ; FPGA_CLK ; 40.000 ; -0.036 ; 2.365 ; -; 37.586 ; LedBlink:inst2|counter[15] ; LedBlink:inst2|counter[13] ; FPGA_CLK ; FPGA_CLK ; 40.000 ; -0.036 ; 2.365 ; -; 37.586 ; LedBlink:inst2|counter[15] ; LedBlink:inst2|counter[14] ; FPGA_CLK ; FPGA_CLK ; 40.000 ; -0.036 ; 2.365 ; -; 37.586 ; LedBlink:inst2|counter[15] ; LedBlink:inst2|counter[16] ; FPGA_CLK ; FPGA_CLK ; 40.000 ; -0.036 ; 2.365 ; -; 37.586 ; LedBlink:inst2|counter[15] ; LedBlink:inst2|counter[17] ; FPGA_CLK ; FPGA_CLK ; 40.000 ; -0.036 ; 2.365 ; -+--------+----------------------------------+----------------------------+--------------+-------------+--------------+------------+------------+ ++---------------------------------------------------------------------------------------------------------------------------------------+ +; Fast 1200mV 0C Model Setup: 'FPGA_CLK' ; ++--------+----------------------------+---------------------------+--------------+-------------+--------------+------------+------------+ +; Slack ; From Node ; To Node ; Launch Clock ; Latch Clock ; Relationship ; Clock Skew ; Data Delay ; ++--------+----------------------------+---------------------------+--------------+-------------+--------------+------------+------------+ +; 30.708 ; RAM:inst1|addr0[7] ; RAM:inst1|data0[1]~reg0 ; FPGA_CLK ; FPGA_CLK ; 40.000 ; -0.055 ; 9.224 ; +; 31.091 ; RAM:inst1|addr0[6] ; RAM:inst1|data0[1]~reg0 ; FPGA_CLK ; FPGA_CLK ; 40.000 ; -0.055 ; 8.841 ; +; 31.198 ; RAM:inst1|addr0[2] ; RAM:inst1|data0[7]~reg0 ; FPGA_CLK ; FPGA_CLK ; 40.000 ; -0.048 ; 8.741 ; +; 31.395 ; RAM:inst1|addr0[3] ; RAM:inst1|data0[7]~reg0 ; FPGA_CLK ; FPGA_CLK ; 40.000 ; -0.048 ; 8.544 ; +; 31.555 ; RAM:inst1|addr0[0] ; RAM:inst1|data0[3]~reg0 ; FPGA_CLK ; FPGA_CLK ; 40.000 ; -0.035 ; 8.397 ; +; 31.624 ; RAM:inst1|memory[167][17] ; RAM:inst1|data0[1]~reg0 ; FPGA_CLK ; FPGA_CLK ; 40.000 ; -0.060 ; 8.303 ; +; 31.643 ; RAM:inst1|part0[1] ; RAM:inst1|data0[4]~reg0 ; FPGA_CLK ; FPGA_CLK ; 40.000 ; -0.048 ; 8.296 ; +; 31.655 ; RAM:inst1|addr0[7] ; RAM:inst1|data0[0]~reg0 ; FPGA_CLK ; FPGA_CLK ; 40.000 ; -0.039 ; 8.293 ; +; 31.702 ; RAM:inst1|addr0[3] ; RAM:inst1|data0[0]~reg0 ; FPGA_CLK ; FPGA_CLK ; 40.000 ; -0.040 ; 8.245 ; +; 31.740 ; RAM:inst1|addr0[6] ; RAM:inst1|data0[0]~reg0 ; FPGA_CLK ; FPGA_CLK ; 40.000 ; -0.039 ; 8.208 ; +; 31.750 ; RAM:inst1|memory[53][17] ; RAM:inst1|data0[1]~reg0 ; FPGA_CLK ; FPGA_CLK ; 40.000 ; -0.046 ; 8.191 ; +; 31.796 ; RAM:inst1|part0[0] ; RAM:inst1|data0[4]~reg0 ; FPGA_CLK ; FPGA_CLK ; 40.000 ; -0.048 ; 8.143 ; +; 31.833 ; RAM:inst1|addr0[1] ; RAM:inst1|data0[1]~reg0 ; FPGA_CLK ; FPGA_CLK ; 40.000 ; -0.058 ; 8.096 ; +; 31.849 ; RAM:inst1|addr0[1] ; RAM:inst1|data0[7]~reg0 ; FPGA_CLK ; FPGA_CLK ; 40.000 ; -0.050 ; 8.088 ; +; 31.850 ; RAM:inst1|addr0[7] ; RAM:inst1|data0[4]~reg0 ; FPGA_CLK ; FPGA_CLK ; 40.000 ; -0.047 ; 8.090 ; +; 31.858 ; RAM:inst1|addr0[2] ; RAM:inst1|data0[0]~reg0 ; FPGA_CLK ; FPGA_CLK ; 40.000 ; -0.040 ; 8.089 ; +; 31.866 ; RAM:inst1|addr0[5] ; RAM:inst1|data0[7]~reg0 ; FPGA_CLK ; FPGA_CLK ; 40.000 ; -0.036 ; 8.085 ; +; 31.890 ; RAM:inst1|memory[133][17] ; RAM:inst1|data0[1]~reg0 ; FPGA_CLK ; FPGA_CLK ; 40.000 ; -0.040 ; 8.057 ; +; 31.897 ; RAM:inst1|addr0[0] ; RAM:inst1|data0[0]~reg0 ; FPGA_CLK ; FPGA_CLK ; 40.000 ; -0.042 ; 8.048 ; +; 31.900 ; RAM:inst1|addr0[1] ; RAM:inst1|data0[3]~reg0 ; FPGA_CLK ; FPGA_CLK ; 40.000 ; -0.035 ; 8.052 ; +; 31.919 ; RAM:inst1|addr0[0] ; RAM:inst1|data0[1]~reg0 ; FPGA_CLK ; FPGA_CLK ; 40.000 ; -0.058 ; 8.010 ; +; 31.923 ; RAM:inst1|addr0[0] ; RAM:inst1|data0[7]~reg0 ; FPGA_CLK ; FPGA_CLK ; 40.000 ; -0.050 ; 8.014 ; +; 31.934 ; RAM:inst1|addr0[5] ; RAM:inst1|data0[1]~reg0 ; FPGA_CLK ; FPGA_CLK ; 40.000 ; -0.044 ; 8.009 ; +; 31.966 ; RAM:inst1|memory[149][8] ; RAM:inst1|data0[0]~reg0 ; FPGA_CLK ; FPGA_CLK ; 40.000 ; -0.040 ; 7.981 ; +; 31.977 ; RAM:inst1|addr0[7] ; RAM:inst1|data0[2]~reg0 ; FPGA_CLK ; FPGA_CLK ; 40.000 ; -0.052 ; 7.958 ; +; 32.034 ; RAM:inst1|addr0[4] ; RAM:inst1|data0[3]~reg0 ; FPGA_CLK ; FPGA_CLK ; 40.000 ; -0.041 ; 7.912 ; +; 32.035 ; RAM:inst1|addr0[6] ; RAM:inst1|data0[4]~reg0 ; FPGA_CLK ; FPGA_CLK ; 40.000 ; -0.047 ; 7.905 ; +; 32.048 ; RAM:inst1|addr0[7] ; RAM:inst1|data0[5]~reg0 ; FPGA_CLK ; FPGA_CLK ; 40.000 ; -0.028 ; 7.911 ; +; 32.056 ; RAM:inst1|memory[84][17] ; RAM:inst1|data0[1]~reg0 ; FPGA_CLK ; FPGA_CLK ; 40.000 ; -0.057 ; 7.874 ; +; 32.070 ; RAM:inst1|addr0[4] ; RAM:inst1|data0[7]~reg0 ; FPGA_CLK ; FPGA_CLK ; 40.000 ; -0.036 ; 7.881 ; +; 32.077 ; RAM:inst1|addr0[2] ; RAM:inst1|data0[4]~reg0 ; FPGA_CLK ; FPGA_CLK ; 40.000 ; -0.048 ; 7.862 ; +; 32.123 ; RAM:inst1|addr0[6] ; RAM:inst1|data0[2]~reg0 ; FPGA_CLK ; FPGA_CLK ; 40.000 ; -0.052 ; 7.812 ; +; 32.136 ; RAM:inst1|memory[173][7] ; RAM:inst1|data0[7]~reg0 ; FPGA_CLK ; FPGA_CLK ; 40.000 ; -0.059 ; 7.792 ; +; 32.139 ; RAM:inst1|addr0[1] ; RAM:inst1|data0[0]~reg0 ; FPGA_CLK ; FPGA_CLK ; 40.000 ; -0.042 ; 7.806 ; +; 32.160 ; RAM:inst1|memory[213][15] ; RAM:inst1|data0[7]~reg0 ; FPGA_CLK ; FPGA_CLK ; 40.000 ; -0.045 ; 7.782 ; +; 32.187 ; RAM:inst1|addr0[5] ; RAM:inst1|data0[3]~reg0 ; FPGA_CLK ; FPGA_CLK ; 40.000 ; -0.041 ; 7.759 ; +; 32.268 ; RAM:inst1|addr0[3] ; RAM:inst1|data0[4]~reg0 ; FPGA_CLK ; FPGA_CLK ; 40.000 ; -0.048 ; 7.671 ; +; 32.293 ; RAM:inst1|addr0[4] ; RAM:inst1|data0[2]~reg0 ; FPGA_CLK ; FPGA_CLK ; 40.000 ; -0.051 ; 7.643 ; +; 32.300 ; RAM:inst1|memory[118][17] ; RAM:inst1|data0[1]~reg0 ; FPGA_CLK ; FPGA_CLK ; 40.000 ; -0.055 ; 7.632 ; +; 32.310 ; RAM:inst1|addr0[4] ; RAM:inst1|data0[1]~reg0 ; FPGA_CLK ; FPGA_CLK ; 40.000 ; -0.044 ; 7.633 ; +; 32.417 ; RAM:inst1|memory[120][20] ; RAM:inst1|data0[4]~reg0 ; FPGA_CLK ; FPGA_CLK ; 40.000 ; -0.049 ; 7.521 ; +; 32.437 ; RAM:inst1|addr0[1] ; RAM:inst1|memory[232][12] ; FPGA_CLK ; FPGA_CLK ; 40.000 ; -0.038 ; 7.512 ; +; 32.448 ; RAM:inst1|addr0[7] ; RAM:inst1|data0[6]~reg0 ; FPGA_CLK ; FPGA_CLK ; 40.000 ; -0.049 ; 7.490 ; +; 32.467 ; RAM:inst1|addr0[0] ; RAM:inst1|data0[4]~reg0 ; FPGA_CLK ; FPGA_CLK ; 40.000 ; -0.050 ; 7.470 ; +; 32.487 ; RAM:inst1|part0[0] ; RAM:inst1|data0[7]~reg0 ; FPGA_CLK ; FPGA_CLK ; 40.000 ; -0.048 ; 7.452 ; +; 32.522 ; RAM:inst1|memory[217][8] ; RAM:inst1|data0[0]~reg0 ; FPGA_CLK ; FPGA_CLK ; 40.000 ; -0.053 ; 7.412 ; +; 32.531 ; RAM:inst1|addr0[3] ; RAM:inst1|data0[3]~reg0 ; FPGA_CLK ; FPGA_CLK ; 40.000 ; -0.033 ; 7.423 ; +; 32.532 ; RAM:inst1|memory[169][7] ; RAM:inst1|data0[7]~reg0 ; FPGA_CLK ; FPGA_CLK ; 40.000 ; -0.041 ; 7.414 ; +; 32.546 ; RAM:inst1|part0[1] ; RAM:inst1|data0[1]~reg0 ; FPGA_CLK ; FPGA_CLK ; 40.000 ; -0.056 ; 7.385 ; +; 32.567 ; RAM:inst1|addr0[6] ; RAM:inst1|data0[6]~reg0 ; FPGA_CLK ; FPGA_CLK ; 40.000 ; -0.049 ; 7.371 ; +; 32.588 ; RAM:inst1|addr0[0] ; RAM:inst1|memory[232][12] ; FPGA_CLK ; FPGA_CLK ; 40.000 ; -0.038 ; 7.361 ; +; 32.591 ; RAM:inst1|addr0[2] ; RAM:inst1|data0[6]~reg0 ; FPGA_CLK ; FPGA_CLK ; 40.000 ; -0.050 ; 7.346 ; +; 32.599 ; RAM:inst1|addr0[3] ; RAM:inst1|memory[58][15] ; FPGA_CLK ; FPGA_CLK ; 40.000 ; -0.051 ; 7.337 ; +; 32.599 ; RAM:inst1|addr0[3] ; RAM:inst1|memory[58][13] ; FPGA_CLK ; FPGA_CLK ; 40.000 ; -0.051 ; 7.337 ; +; 32.599 ; RAM:inst1|addr0[3] ; RAM:inst1|memory[58][12] ; FPGA_CLK ; FPGA_CLK ; 40.000 ; -0.051 ; 7.337 ; +; 32.599 ; RAM:inst1|addr0[3] ; RAM:inst1|memory[58][11] ; FPGA_CLK ; FPGA_CLK ; 40.000 ; -0.051 ; 7.337 ; +; 32.599 ; RAM:inst1|addr0[3] ; RAM:inst1|memory[58][10] ; FPGA_CLK ; FPGA_CLK ; 40.000 ; -0.051 ; 7.337 ; +; 32.599 ; RAM:inst1|addr0[3] ; RAM:inst1|memory[58][8] ; FPGA_CLK ; FPGA_CLK ; 40.000 ; -0.051 ; 7.337 ; +; 32.600 ; RAM:inst1|memory[34][3] ; RAM:inst1|data0[3]~reg0 ; FPGA_CLK ; FPGA_CLK ; 40.000 ; -0.029 ; 7.358 ; +; 32.620 ; RAM:inst1|part0[1] ; RAM:inst1|data0[3]~reg0 ; FPGA_CLK ; FPGA_CLK ; 40.000 ; -0.033 ; 7.334 ; +; 32.624 ; RAM:inst1|part0[0] ; RAM:inst1|data0[1]~reg0 ; FPGA_CLK ; FPGA_CLK ; 40.000 ; -0.056 ; 7.307 ; +; 32.642 ; RAM:inst1|addr0[3] ; RAM:inst1|data0[6]~reg0 ; FPGA_CLK ; FPGA_CLK ; 40.000 ; -0.050 ; 7.295 ; +; 32.643 ; RAM:inst1|memory[39][17] ; RAM:inst1|data0[1]~reg0 ; FPGA_CLK ; FPGA_CLK ; 40.000 ; -0.049 ; 7.295 ; +; 32.646 ; RAM:inst1|part0[1] ; RAM:inst1|data0[5]~reg0 ; FPGA_CLK ; FPGA_CLK ; 40.000 ; -0.029 ; 7.312 ; +; 32.663 ; RAM:inst1|memory[120][0] ; RAM:inst1|data0[0]~reg0 ; FPGA_CLK ; FPGA_CLK ; 40.000 ; -0.042 ; 7.282 ; +; 32.666 ; RAM:inst1|memory[215][15] ; RAM:inst1|data0[7]~reg0 ; FPGA_CLK ; FPGA_CLK ; 40.000 ; -0.049 ; 7.272 ; +; 32.675 ; RAM:inst1|addr0[3] ; RAM:inst1|memory[250][15] ; FPGA_CLK ; FPGA_CLK ; 40.000 ; -0.047 ; 7.265 ; +; 32.675 ; RAM:inst1|addr0[3] ; RAM:inst1|memory[250][13] ; FPGA_CLK ; FPGA_CLK ; 40.000 ; -0.047 ; 7.265 ; +; 32.675 ; RAM:inst1|addr0[3] ; RAM:inst1|memory[250][12] ; FPGA_CLK ; FPGA_CLK ; 40.000 ; -0.047 ; 7.265 ; +; 32.675 ; RAM:inst1|addr0[3] ; RAM:inst1|memory[250][11] ; FPGA_CLK ; FPGA_CLK ; 40.000 ; -0.047 ; 7.265 ; +; 32.675 ; RAM:inst1|addr0[3] ; RAM:inst1|memory[250][10] ; FPGA_CLK ; FPGA_CLK ; 40.000 ; -0.047 ; 7.265 ; +; 32.675 ; RAM:inst1|addr0[3] ; RAM:inst1|memory[250][9] ; FPGA_CLK ; FPGA_CLK ; 40.000 ; -0.047 ; 7.265 ; +; 32.675 ; RAM:inst1|addr0[3] ; RAM:inst1|memory[250][8] ; FPGA_CLK ; FPGA_CLK ; 40.000 ; -0.047 ; 7.265 ; +; 32.681 ; RAM:inst1|addr0[3] ; RAM:inst1|memory[250][14] ; FPGA_CLK ; FPGA_CLK ; 40.000 ; -0.047 ; 7.259 ; +; 32.690 ; RAM:inst1|addr0[6] ; RAM:inst1|data0[5]~reg0 ; FPGA_CLK ; FPGA_CLK ; 40.000 ; -0.028 ; 7.269 ; +; 32.696 ; RAM:inst1|memory[70][17] ; RAM:inst1|data0[1]~reg0 ; FPGA_CLK ; FPGA_CLK ; 40.000 ; -0.055 ; 7.236 ; +; 32.700 ; RAM:inst1|memory[218][15] ; RAM:inst1|data0[7]~reg0 ; FPGA_CLK ; FPGA_CLK ; 40.000 ; -0.053 ; 7.234 ; +; 32.705 ; RAM:inst1|memory[122][3] ; RAM:inst1|data0[3]~reg0 ; FPGA_CLK ; FPGA_CLK ; 40.000 ; -0.046 ; 7.236 ; +; 32.713 ; RAM:inst1|addr0[2] ; RAM:inst1|data0[2]~reg0 ; FPGA_CLK ; FPGA_CLK ; 40.000 ; -0.053 ; 7.221 ; +; 32.729 ; RAM:inst1|addr0[2] ; RAM:inst1|data0[3]~reg0 ; FPGA_CLK ; FPGA_CLK ; 40.000 ; -0.033 ; 7.225 ; +; 32.740 ; RAM:inst1|addr0[3] ; RAM:inst1|memory[58][14] ; FPGA_CLK ; FPGA_CLK ; 40.000 ; -0.046 ; 7.201 ; +; 32.740 ; RAM:inst1|addr0[3] ; RAM:inst1|memory[58][9] ; FPGA_CLK ; FPGA_CLK ; 40.000 ; -0.046 ; 7.201 ; +; 32.749 ; RAM:inst1|addr0[3] ; RAM:inst1|memory[232][12] ; FPGA_CLK ; FPGA_CLK ; 40.000 ; -0.036 ; 7.202 ; +; 32.753 ; RAM:inst1|memory[98][12] ; RAM:inst1|data0[4]~reg0 ; FPGA_CLK ; FPGA_CLK ; 40.000 ; -0.036 ; 7.198 ; +; 32.767 ; RAM:inst1|memory[117][17] ; RAM:inst1|data0[1]~reg0 ; FPGA_CLK ; FPGA_CLK ; 40.000 ; -0.059 ; 7.161 ; +; 32.783 ; RAM:inst1|addr0[1] ; RAM:inst1|data0[4]~reg0 ; FPGA_CLK ; FPGA_CLK ; 40.000 ; -0.050 ; 7.154 ; +; 32.784 ; RAM:inst1|addr0[3] ; RAM:inst1|data0[2]~reg0 ; FPGA_CLK ; FPGA_CLK ; 40.000 ; -0.053 ; 7.150 ; +; 32.790 ; RAM:inst1|addr0[4] ; RAM:inst1|data0[6]~reg0 ; FPGA_CLK ; FPGA_CLK ; 40.000 ; -0.048 ; 7.149 ; +; 32.794 ; RAM:inst1|memory[5][17] ; RAM:inst1|data0[1]~reg0 ; FPGA_CLK ; FPGA_CLK ; 40.000 ; -0.052 ; 7.141 ; +; 32.796 ; RAM:inst1|memory[183][16] ; RAM:inst1|data0[0]~reg0 ; FPGA_CLK ; FPGA_CLK ; 40.000 ; -0.042 ; 7.149 ; +; 32.804 ; RAM:inst1|memory[118][12] ; RAM:inst1|data0[4]~reg0 ; FPGA_CLK ; FPGA_CLK ; 40.000 ; -0.035 ; 7.148 ; +; 32.821 ; RAM:inst1|memory[20][17] ; RAM:inst1|data0[1]~reg0 ; FPGA_CLK ; FPGA_CLK ; 40.000 ; -0.049 ; 7.117 ; +; 32.835 ; RAM:inst1|memory[189][23] ; RAM:inst1|data0[7]~reg0 ; FPGA_CLK ; FPGA_CLK ; 40.000 ; -0.035 ; 7.117 ; +; 32.835 ; RAM:inst1|addr0[5] ; RAM:inst1|data0[6]~reg0 ; FPGA_CLK ; FPGA_CLK ; 40.000 ; -0.048 ; 7.104 ; +; 32.846 ; RAM:inst1|addr0[5] ; RAM:inst1|data0[2]~reg0 ; FPGA_CLK ; FPGA_CLK ; 40.000 ; -0.051 ; 7.090 ; +; 32.850 ; RAM:inst1|memory[248][12] ; RAM:inst1|data0[4]~reg0 ; FPGA_CLK ; FPGA_CLK ; 40.000 ; -0.038 ; 7.099 ; +; 32.863 ; RAM:inst1|stateMM0.Writing ; RAM:inst1|memory[15][16] ; FPGA_CLK ; FPGA_CLK ; 40.000 ; -0.043 ; 7.081 ; +; 32.864 ; RAM:inst1|addr0[0] ; RAM:inst1|memory[53][23] ; FPGA_CLK ; FPGA_CLK ; 40.000 ; -0.048 ; 7.075 ; +; 32.864 ; RAM:inst1|addr0[0] ; RAM:inst1|memory[53][22] ; FPGA_CLK ; FPGA_CLK ; 40.000 ; -0.048 ; 7.075 ; +; 32.864 ; RAM:inst1|addr0[0] ; RAM:inst1|memory[53][21] ; FPGA_CLK ; FPGA_CLK ; 40.000 ; -0.048 ; 7.075 ; ++--------+----------------------------+---------------------------+--------------+-------------+--------------+------------+------------+ -+--------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------+ -; Fast 1200mV 0C Model Hold: 'FPGA_CLK' ; -+-------+-----------------------------------+--------------------------------------------------------------------------------------------------+--------------+-------------+--------------+------------+------------+ -; Slack ; From Node ; To Node ; Launch Clock ; Latch Clock ; Relationship ; Clock Skew ; Data Delay ; -+-------+-----------------------------------+--------------------------------------------------------------------------------------------------+--------------+-------------+--------------+------------+------------+ -; 0.186 ; RAM:inst3|stateMM0.Waiting ; RAM:inst3|stateMM0.Waiting ; FPGA_CLK ; FPGA_CLK ; 0.000 ; 0.037 ; 0.307 ; -; 0.187 ; LedBlink:inst2|ledBuf ; LedBlink:inst2|ledBuf ; FPGA_CLK ; FPGA_CLK ; 0.000 ; 0.036 ; 0.307 ; -; 0.193 ; RAM:inst3|memory_rtl_0_bypass[18] ; RAM:inst3|data0[1]~reg0 ; FPGA_CLK ; FPGA_CLK ; 0.000 ; 0.036 ; 0.313 ; -; 0.194 ; RAM:inst3|memory_rtl_0_bypass[19] ; RAM:inst3|data0[2]~reg0 ; FPGA_CLK ; FPGA_CLK ; 0.000 ; 0.036 ; 0.314 ; -; 0.194 ; RAM:inst3|memory_rtl_0_bypass[22] ; RAM:inst3|data0[5]~reg0 ; FPGA_CLK ; FPGA_CLK ; 0.000 ; 0.036 ; 0.314 ; -; 0.196 ; RAM:inst3|memory_rtl_0_bypass[24] ; RAM:inst3|data0[7]~reg0 ; FPGA_CLK ; FPGA_CLK ; 0.000 ; 0.036 ; 0.316 ; -; 0.206 ; RAM:inst3|addr[6] ; RAM:inst3|memory_rtl_0_bypass[13] ; FPGA_CLK ; FPGA_CLK ; 0.000 ; 0.036 ; 0.326 ; -; 0.253 ; RAM:inst3|memory_rtl_0_bypass[20] ; RAM:inst3|data0[3]~reg0 ; FPGA_CLK ; FPGA_CLK ; 0.000 ; 0.036 ; 0.373 ; -; 0.253 ; RAM:inst3|memory_rtl_0_bypass[21] ; RAM:inst3|data0[4]~reg0 ; FPGA_CLK ; FPGA_CLK ; 0.000 ; 0.036 ; 0.373 ; -; 0.278 ; RAM:inst3|ce0Prev ; RAM:inst3|stateMM0.Reading ; FPGA_CLK ; FPGA_CLK ; 0.000 ; 0.037 ; 0.399 ; -; 0.278 ; RAM:inst3|ce0Prev ; RAM:inst3|stateMM0.Writing ; FPGA_CLK ; FPGA_CLK ; 0.000 ; 0.037 ; 0.399 ; -; 0.280 ; RAM:inst3|addr[7] ; RAM:inst3|memory_rtl_0_bypass[15] ; FPGA_CLK ; FPGA_CLK ; 0.000 ; 0.036 ; 0.400 ; -; 0.294 ; RAM:inst3|memory_rtl_0_bypass[23] ; RAM:inst3|data0[6]~reg0 ; FPGA_CLK ; FPGA_CLK ; 0.000 ; 0.036 ; 0.414 ; -; 0.296 ; RAM:inst3|memory_rtl_0_bypass[17] ; RAM:inst3|data0[0]~reg0 ; FPGA_CLK ; FPGA_CLK ; 0.000 ; 0.036 ; 0.416 ; -; 0.297 ; LedBlink:inst2|counter[9] ; LedBlink:inst2|counter[9] ; FPGA_CLK ; FPGA_CLK ; 0.000 ; 0.036 ; 0.417 ; -; 0.297 ; LedBlink:inst2|counter[11] ; LedBlink:inst2|counter[11] ; FPGA_CLK ; FPGA_CLK ; 0.000 ; 0.036 ; 0.417 ; -; 0.298 ; LedBlink:inst2|counter[1] ; LedBlink:inst2|counter[1] ; FPGA_CLK ; FPGA_CLK ; 0.000 ; 0.036 ; 0.418 ; -; 0.298 ; LedBlink:inst2|counter[2] ; LedBlink:inst2|counter[2] ; FPGA_CLK ; FPGA_CLK ; 0.000 ; 0.036 ; 0.418 ; -; 0.298 ; LedBlink:inst2|counter[5] ; LedBlink:inst2|counter[5] ; FPGA_CLK ; FPGA_CLK ; 0.000 ; 0.036 ; 0.418 ; -; 0.298 ; LedBlink:inst2|counter[7] ; LedBlink:inst2|counter[7] ; FPGA_CLK ; FPGA_CLK ; 0.000 ; 0.036 ; 0.418 ; -; 0.299 ; LedBlink:inst2|counter[3] ; LedBlink:inst2|counter[3] ; FPGA_CLK ; FPGA_CLK ; 0.000 ; 0.036 ; 0.419 ; -; 0.299 ; LedBlink:inst2|counter[8] ; LedBlink:inst2|counter[8] ; FPGA_CLK ; FPGA_CLK ; 0.000 ; 0.036 ; 0.419 ; -; 0.299 ; LedBlink:inst2|counter[10] ; LedBlink:inst2|counter[10] ; FPGA_CLK ; FPGA_CLK ; 0.000 ; 0.036 ; 0.419 ; -; 0.299 ; LedBlink:inst2|counter[14] ; LedBlink:inst2|counter[14] ; FPGA_CLK ; FPGA_CLK ; 0.000 ; 0.036 ; 0.419 ; -; 0.299 ; LedBlink:inst2|counter[17] ; LedBlink:inst2|counter[17] ; FPGA_CLK ; FPGA_CLK ; 0.000 ; 0.036 ; 0.419 ; -; 0.299 ; LedBlink:inst2|counter[18] ; LedBlink:inst2|counter[18] ; FPGA_CLK ; FPGA_CLK ; 0.000 ; 0.036 ; 0.419 ; -; 0.299 ; LedBlink:inst2|counter[19] ; LedBlink:inst2|counter[19] ; FPGA_CLK ; FPGA_CLK ; 0.000 ; 0.036 ; 0.419 ; -; 0.299 ; LedBlink:inst2|counter[21] ; LedBlink:inst2|counter[21] ; FPGA_CLK ; FPGA_CLK ; 0.000 ; 0.036 ; 0.419 ; -; 0.299 ; LedBlink:inst2|counter[23] ; LedBlink:inst2|counter[23] ; FPGA_CLK ; FPGA_CLK ; 0.000 ; 0.036 ; 0.419 ; -; 0.300 ; LedBlink:inst2|counter[22] ; LedBlink:inst2|counter[22] ; FPGA_CLK ; FPGA_CLK ; 0.000 ; 0.036 ; 0.420 ; -; 0.301 ; LedBlink:inst2|counter[20] ; LedBlink:inst2|counter[20] ; FPGA_CLK ; FPGA_CLK ; 0.000 ; 0.036 ; 0.421 ; -; 0.305 ; LedBlink:inst2|counter[13] ; LedBlink:inst2|counter[13] ; FPGA_CLK ; FPGA_CLK ; 0.000 ; 0.036 ; 0.425 ; -; 0.306 ; LedBlink:inst2|counter[12] ; LedBlink:inst2|counter[12] ; FPGA_CLK ; FPGA_CLK ; 0.000 ; 0.036 ; 0.426 ; -; 0.307 ; LedBlink:inst2|counter[6] ; LedBlink:inst2|counter[6] ; FPGA_CLK ; FPGA_CLK ; 0.000 ; 0.036 ; 0.427 ; -; 0.309 ; LedBlink:inst2|counter[15] ; LedBlink:inst2|counter[15] ; FPGA_CLK ; FPGA_CLK ; 0.000 ; 0.036 ; 0.429 ; -; 0.311 ; LedBlink:inst2|counter[0] ; LedBlink:inst2|counter[0] ; FPGA_CLK ; FPGA_CLK ; 0.000 ; 0.036 ; 0.431 ; -; 0.311 ; LedBlink:inst2|counter[4] ; LedBlink:inst2|counter[4] ; FPGA_CLK ; FPGA_CLK ; 0.000 ; 0.036 ; 0.431 ; -; 0.312 ; LedBlink:inst2|counter[16] ; LedBlink:inst2|counter[16] ; FPGA_CLK ; FPGA_CLK ; 0.000 ; 0.036 ; 0.432 ; -; 0.314 ; RAM:inst3|stateMM0.Waiting ; RAM:inst3|stateMM0.Reading ; FPGA_CLK ; FPGA_CLK ; 0.000 ; 0.037 ; 0.435 ; -; 0.315 ; RAM:inst3|stateMM0.Waiting ; RAM:inst3|stateMM0.Writing ; FPGA_CLK ; FPGA_CLK ; 0.000 ; 0.037 ; 0.436 ; -; 0.329 ; RAM:inst3|ce0Prev ; RAM:inst3|stateMM0.Waiting ; FPGA_CLK ; FPGA_CLK ; 0.000 ; 0.037 ; 0.450 ; -; 0.337 ; RAM:inst3|addr[5] ; RAM:inst3|altsyncram:memory_rtl_0|altsyncram_8bi1:auto_generated|ram_block1a0~porta_address_reg0 ; FPGA_CLK ; FPGA_CLK ; 0.000 ; 0.229 ; 0.670 ; -; 0.369 ; RAM:inst3|addr[4] ; RAM:inst3|altsyncram:memory_rtl_0|altsyncram_8bi1:auto_generated|ram_block1a0~porta_address_reg0 ; FPGA_CLK ; FPGA_CLK ; 0.000 ; 0.229 ; 0.702 ; -; 0.408 ; RAM:inst3|addr[2] ; RAM:inst3|altsyncram:memory_rtl_0|altsyncram_8bi1:auto_generated|ram_block1a0~porta_address_reg0 ; FPGA_CLK ; FPGA_CLK ; 0.000 ; 0.229 ; 0.741 ; -; 0.437 ; RAM:inst3|addr[7] ; RAM:inst3|altsyncram:memory_rtl_0|altsyncram_8bi1:auto_generated|ram_block1a0~porta_address_reg0 ; FPGA_CLK ; FPGA_CLK ; 0.000 ; 0.229 ; 0.770 ; -; 0.446 ; LedBlink:inst2|counter[9] ; LedBlink:inst2|counter[10] ; FPGA_CLK ; FPGA_CLK ; 0.000 ; 0.036 ; 0.566 ; -; 0.447 ; LedBlink:inst2|counter[1] ; LedBlink:inst2|counter[2] ; FPGA_CLK ; FPGA_CLK ; 0.000 ; 0.036 ; 0.567 ; -; 0.447 ; LedBlink:inst2|counter[7] ; LedBlink:inst2|counter[8] ; FPGA_CLK ; FPGA_CLK ; 0.000 ; 0.036 ; 0.567 ; -; 0.447 ; LedBlink:inst2|counter[5] ; LedBlink:inst2|counter[6] ; FPGA_CLK ; FPGA_CLK ; 0.000 ; 0.036 ; 0.567 ; -; 0.448 ; LedBlink:inst2|counter[17] ; LedBlink:inst2|counter[18] ; FPGA_CLK ; FPGA_CLK ; 0.000 ; 0.036 ; 0.568 ; -; 0.448 ; LedBlink:inst2|counter[21] ; LedBlink:inst2|counter[22] ; FPGA_CLK ; FPGA_CLK ; 0.000 ; 0.036 ; 0.568 ; -; 0.448 ; LedBlink:inst2|counter[19] ; LedBlink:inst2|counter[20] ; FPGA_CLK ; FPGA_CLK ; 0.000 ; 0.036 ; 0.568 ; -; 0.448 ; LedBlink:inst2|counter[11] ; LedBlink:inst2|counter[12] ; FPGA_CLK ; FPGA_CLK ; 0.000 ; 0.034 ; 0.566 ; -; 0.448 ; LedBlink:inst2|counter[3] ; LedBlink:inst2|counter[4] ; FPGA_CLK ; FPGA_CLK ; 0.000 ; 0.036 ; 0.568 ; -; 0.454 ; LedBlink:inst2|counter[13] ; LedBlink:inst2|counter[14] ; FPGA_CLK ; FPGA_CLK ; 0.000 ; 0.036 ; 0.574 ; -; 0.456 ; LedBlink:inst2|counter[2] ; LedBlink:inst2|counter[3] ; FPGA_CLK ; FPGA_CLK ; 0.000 ; 0.036 ; 0.576 ; -; 0.457 ; LedBlink:inst2|counter[8] ; LedBlink:inst2|counter[9] ; FPGA_CLK ; FPGA_CLK ; 0.000 ; 0.036 ; 0.577 ; -; 0.457 ; LedBlink:inst2|counter[10] ; LedBlink:inst2|counter[11] ; FPGA_CLK ; FPGA_CLK ; 0.000 ; 0.036 ; 0.577 ; -; 0.457 ; LedBlink:inst2|counter[18] ; LedBlink:inst2|counter[19] ; FPGA_CLK ; FPGA_CLK ; 0.000 ; 0.036 ; 0.577 ; -; 0.457 ; LedBlink:inst2|counter[14] ; LedBlink:inst2|counter[15] ; FPGA_CLK ; FPGA_CLK ; 0.000 ; 0.036 ; 0.577 ; -; 0.458 ; LedBlink:inst2|counter[0] ; LedBlink:inst2|counter[1] ; FPGA_CLK ; FPGA_CLK ; 0.000 ; 0.036 ; 0.578 ; -; 0.458 ; LedBlink:inst2|counter[22] ; LedBlink:inst2|counter[23] ; FPGA_CLK ; FPGA_CLK ; 0.000 ; 0.036 ; 0.578 ; -; 0.458 ; LedBlink:inst2|counter[15] ; LedBlink:inst2|counter[16] ; FPGA_CLK ; FPGA_CLK ; 0.000 ; 0.036 ; 0.578 ; -; 0.459 ; LedBlink:inst2|counter[20] ; LedBlink:inst2|counter[21] ; FPGA_CLK ; FPGA_CLK ; 0.000 ; 0.036 ; 0.579 ; -; 0.459 ; LedBlink:inst2|counter[2] ; LedBlink:inst2|counter[4] ; FPGA_CLK ; FPGA_CLK ; 0.000 ; 0.036 ; 0.579 ; -; 0.460 ; LedBlink:inst2|counter[8] ; LedBlink:inst2|counter[10] ; FPGA_CLK ; FPGA_CLK ; 0.000 ; 0.036 ; 0.580 ; -; 0.460 ; LedBlink:inst2|counter[18] ; LedBlink:inst2|counter[20] ; FPGA_CLK ; FPGA_CLK ; 0.000 ; 0.036 ; 0.580 ; -; 0.460 ; LedBlink:inst2|counter[14] ; LedBlink:inst2|counter[16] ; FPGA_CLK ; FPGA_CLK ; 0.000 ; 0.036 ; 0.580 ; -; 0.461 ; LedBlink:inst2|counter[0] ; LedBlink:inst2|counter[2] ; FPGA_CLK ; FPGA_CLK ; 0.000 ; 0.036 ; 0.581 ; -; 0.462 ; LedBlink:inst2|counter[20] ; LedBlink:inst2|counter[22] ; FPGA_CLK ; FPGA_CLK ; 0.000 ; 0.036 ; 0.582 ; -; 0.462 ; LedBlink:inst2|counter[10] ; LedBlink:inst2|counter[12] ; FPGA_CLK ; FPGA_CLK ; 0.000 ; 0.034 ; 0.580 ; -; 0.464 ; LedBlink:inst2|counter[12] ; LedBlink:inst2|counter[13] ; FPGA_CLK ; FPGA_CLK ; 0.000 ; 0.036 ; 0.584 ; -; 0.465 ; LedBlink:inst2|counter[6] ; LedBlink:inst2|counter[7] ; FPGA_CLK ; FPGA_CLK ; 0.000 ; 0.036 ; 0.585 ; -; 0.467 ; LedBlink:inst2|counter[12] ; LedBlink:inst2|counter[14] ; FPGA_CLK ; FPGA_CLK ; 0.000 ; 0.036 ; 0.587 ; -; 0.468 ; LedBlink:inst2|counter[6] ; LedBlink:inst2|counter[8] ; FPGA_CLK ; FPGA_CLK ; 0.000 ; 0.036 ; 0.588 ; -; 0.469 ; LedBlink:inst2|counter[4] ; LedBlink:inst2|counter[5] ; FPGA_CLK ; FPGA_CLK ; 0.000 ; 0.036 ; 0.589 ; -; 0.470 ; LedBlink:inst2|counter[16] ; LedBlink:inst2|counter[17] ; FPGA_CLK ; FPGA_CLK ; 0.000 ; 0.036 ; 0.590 ; -; 0.472 ; LedBlink:inst2|counter[4] ; LedBlink:inst2|counter[6] ; FPGA_CLK ; FPGA_CLK ; 0.000 ; 0.036 ; 0.592 ; -; 0.473 ; LedBlink:inst2|counter[16] ; LedBlink:inst2|counter[18] ; FPGA_CLK ; FPGA_CLK ; 0.000 ; 0.036 ; 0.593 ; -; 0.507 ; RAM:inst3|oe0Prev ; RAM:inst3|stateMM0.Waiting ; FPGA_CLK ; FPGA_CLK ; 0.000 ; 0.037 ; 0.628 ; -; 0.509 ; LedBlink:inst2|counter[9] ; LedBlink:inst2|counter[11] ; FPGA_CLK ; FPGA_CLK ; 0.000 ; 0.036 ; 0.629 ; -; 0.510 ; LedBlink:inst2|counter[1] ; LedBlink:inst2|counter[3] ; FPGA_CLK ; FPGA_CLK ; 0.000 ; 0.036 ; 0.630 ; -; 0.510 ; LedBlink:inst2|counter[7] ; LedBlink:inst2|counter[9] ; FPGA_CLK ; FPGA_CLK ; 0.000 ; 0.036 ; 0.630 ; -; 0.510 ; LedBlink:inst2|counter[5] ; LedBlink:inst2|counter[7] ; FPGA_CLK ; FPGA_CLK ; 0.000 ; 0.036 ; 0.630 ; -; 0.511 ; LedBlink:inst2|counter[17] ; LedBlink:inst2|counter[19] ; FPGA_CLK ; FPGA_CLK ; 0.000 ; 0.036 ; 0.631 ; -; 0.511 ; LedBlink:inst2|counter[21] ; LedBlink:inst2|counter[23] ; FPGA_CLK ; FPGA_CLK ; 0.000 ; 0.036 ; 0.631 ; -; 0.511 ; LedBlink:inst2|counter[19] ; LedBlink:inst2|counter[21] ; FPGA_CLK ; FPGA_CLK ; 0.000 ; 0.036 ; 0.631 ; -; 0.511 ; LedBlink:inst2|counter[11] ; LedBlink:inst2|counter[13] ; FPGA_CLK ; FPGA_CLK ; 0.000 ; 0.034 ; 0.629 ; -; 0.511 ; LedBlink:inst2|counter[3] ; LedBlink:inst2|counter[5] ; FPGA_CLK ; FPGA_CLK ; 0.000 ; 0.036 ; 0.631 ; -; 0.513 ; LedBlink:inst2|counter[1] ; LedBlink:inst2|counter[4] ; FPGA_CLK ; FPGA_CLK ; 0.000 ; 0.036 ; 0.633 ; -; 0.513 ; LedBlink:inst2|counter[7] ; LedBlink:inst2|counter[10] ; FPGA_CLK ; FPGA_CLK ; 0.000 ; 0.036 ; 0.633 ; -; 0.513 ; LedBlink:inst2|counter[5] ; LedBlink:inst2|counter[8] ; FPGA_CLK ; FPGA_CLK ; 0.000 ; 0.036 ; 0.633 ; -; 0.514 ; LedBlink:inst2|counter[17] ; LedBlink:inst2|counter[20] ; FPGA_CLK ; FPGA_CLK ; 0.000 ; 0.036 ; 0.634 ; -; 0.514 ; LedBlink:inst2|counter[19] ; LedBlink:inst2|counter[22] ; FPGA_CLK ; FPGA_CLK ; 0.000 ; 0.036 ; 0.634 ; -; 0.514 ; LedBlink:inst2|counter[9] ; LedBlink:inst2|counter[12] ; FPGA_CLK ; FPGA_CLK ; 0.000 ; 0.034 ; 0.632 ; -; 0.514 ; LedBlink:inst2|counter[11] ; LedBlink:inst2|counter[14] ; FPGA_CLK ; FPGA_CLK ; 0.000 ; 0.034 ; 0.632 ; -; 0.514 ; LedBlink:inst2|counter[3] ; LedBlink:inst2|counter[6] ; FPGA_CLK ; FPGA_CLK ; 0.000 ; 0.036 ; 0.634 ; -; 0.517 ; LedBlink:inst2|counter[13] ; LedBlink:inst2|counter[15] ; FPGA_CLK ; FPGA_CLK ; 0.000 ; 0.036 ; 0.637 ; -; 0.520 ; LedBlink:inst2|counter[13] ; LedBlink:inst2|counter[16] ; FPGA_CLK ; FPGA_CLK ; 0.000 ; 0.036 ; 0.640 ; -; 0.521 ; LedBlink:inst2|counter[15] ; LedBlink:inst2|counter[17] ; FPGA_CLK ; FPGA_CLK ; 0.000 ; 0.036 ; 0.641 ; -+-------+-----------------------------------+--------------------------------------------------------------------------------------------------+--------------+-------------+--------------+------------+------------+ ++---------------------------------------------------------------------------------------------------------------------------------------+ +; Fast 1200mV 0C Model Hold: 'FPGA_CLK' ; ++-------+----------------------------+----------------------------+--------------+-------------+--------------+------------+------------+ +; Slack ; From Node ; To Node ; Launch Clock ; Latch Clock ; Relationship ; Clock Skew ; Data Delay ; ++-------+----------------------------+----------------------------+--------------+-------------+--------------+------------+------------+ +; 0.186 ; RAM:inst1|stateMM0.Waiting ; RAM:inst1|stateMM0.Waiting ; FPGA_CLK ; FPGA_CLK ; 0.000 ; 0.037 ; 0.307 ; +; 0.187 ; LedBlink:inst2|ledBuf ; LedBlink:inst2|ledBuf ; FPGA_CLK ; FPGA_CLK ; 0.000 ; 0.036 ; 0.307 ; +; 0.260 ; RAM:inst1|ce0Prev ; RAM:inst1|stateMM0.Reading ; FPGA_CLK ; FPGA_CLK ; 0.000 ; 0.037 ; 0.381 ; +; 0.261 ; RAM:inst1|ce0Prev ; RAM:inst1|stateMM0.Writing ; FPGA_CLK ; FPGA_CLK ; 0.000 ; 0.037 ; 0.382 ; +; 0.297 ; LedBlink:inst2|counter[1] ; LedBlink:inst2|counter[1] ; FPGA_CLK ; FPGA_CLK ; 0.000 ; 0.036 ; 0.417 ; +; 0.297 ; LedBlink:inst2|counter[9] ; LedBlink:inst2|counter[9] ; FPGA_CLK ; FPGA_CLK ; 0.000 ; 0.036 ; 0.417 ; +; 0.297 ; LedBlink:inst2|counter[11] ; LedBlink:inst2|counter[11] ; FPGA_CLK ; FPGA_CLK ; 0.000 ; 0.036 ; 0.417 ; +; 0.298 ; LedBlink:inst2|counter[15] ; LedBlink:inst2|counter[15] ; FPGA_CLK ; FPGA_CLK ; 0.000 ; 0.036 ; 0.418 ; +; 0.298 ; LedBlink:inst2|counter[12] ; LedBlink:inst2|counter[12] ; FPGA_CLK ; FPGA_CLK ; 0.000 ; 0.036 ; 0.418 ; +; 0.298 ; LedBlink:inst2|counter[7] ; LedBlink:inst2|counter[7] ; FPGA_CLK ; FPGA_CLK ; 0.000 ; 0.036 ; 0.418 ; +; 0.298 ; LedBlink:inst2|counter[13] ; LedBlink:inst2|counter[13] ; FPGA_CLK ; FPGA_CLK ; 0.000 ; 0.036 ; 0.418 ; +; 0.298 ; LedBlink:inst2|counter[17] ; LedBlink:inst2|counter[17] ; FPGA_CLK ; FPGA_CLK ; 0.000 ; 0.036 ; 0.418 ; +; 0.299 ; LedBlink:inst2|counter[2] ; LedBlink:inst2|counter[2] ; FPGA_CLK ; FPGA_CLK ; 0.000 ; 0.036 ; 0.419 ; +; 0.299 ; LedBlink:inst2|counter[3] ; LedBlink:inst2|counter[3] ; FPGA_CLK ; FPGA_CLK ; 0.000 ; 0.036 ; 0.419 ; +; 0.299 ; LedBlink:inst2|counter[4] ; LedBlink:inst2|counter[4] ; FPGA_CLK ; FPGA_CLK ; 0.000 ; 0.036 ; 0.419 ; +; 0.299 ; LedBlink:inst2|counter[5] ; LedBlink:inst2|counter[5] ; FPGA_CLK ; FPGA_CLK ; 0.000 ; 0.036 ; 0.419 ; +; 0.299 ; LedBlink:inst2|counter[8] ; LedBlink:inst2|counter[8] ; FPGA_CLK ; FPGA_CLK ; 0.000 ; 0.036 ; 0.419 ; +; 0.299 ; LedBlink:inst2|counter[10] ; LedBlink:inst2|counter[10] ; FPGA_CLK ; FPGA_CLK ; 0.000 ; 0.036 ; 0.419 ; +; 0.299 ; LedBlink:inst2|counter[21] ; LedBlink:inst2|counter[21] ; FPGA_CLK ; FPGA_CLK ; 0.000 ; 0.036 ; 0.419 ; +; 0.299 ; LedBlink:inst2|counter[18] ; LedBlink:inst2|counter[18] ; FPGA_CLK ; FPGA_CLK ; 0.000 ; 0.036 ; 0.419 ; +; 0.299 ; LedBlink:inst2|counter[23] ; LedBlink:inst2|counter[23] ; FPGA_CLK ; FPGA_CLK ; 0.000 ; 0.036 ; 0.419 ; +; 0.300 ; LedBlink:inst2|counter[6] ; LedBlink:inst2|counter[6] ; FPGA_CLK ; FPGA_CLK ; 0.000 ; 0.036 ; 0.420 ; +; 0.300 ; LedBlink:inst2|counter[14] ; LedBlink:inst2|counter[14] ; FPGA_CLK ; FPGA_CLK ; 0.000 ; 0.036 ; 0.420 ; +; 0.300 ; LedBlink:inst2|counter[16] ; LedBlink:inst2|counter[16] ; FPGA_CLK ; FPGA_CLK ; 0.000 ; 0.036 ; 0.420 ; +; 0.300 ; LedBlink:inst2|counter[19] ; LedBlink:inst2|counter[19] ; FPGA_CLK ; FPGA_CLK ; 0.000 ; 0.036 ; 0.420 ; +; 0.301 ; LedBlink:inst2|counter[20] ; LedBlink:inst2|counter[20] ; FPGA_CLK ; FPGA_CLK ; 0.000 ; 0.036 ; 0.421 ; +; 0.301 ; LedBlink:inst2|counter[22] ; LedBlink:inst2|counter[22] ; FPGA_CLK ; FPGA_CLK ; 0.000 ; 0.036 ; 0.421 ; +; 0.311 ; LedBlink:inst2|counter[0] ; LedBlink:inst2|counter[0] ; FPGA_CLK ; FPGA_CLK ; 0.000 ; 0.036 ; 0.431 ; +; 0.353 ; RAM:inst1|oe0Prev ; RAM:inst1|stateMM0.Waiting ; FPGA_CLK ; FPGA_CLK ; 0.000 ; 0.037 ; 0.474 ; +; 0.356 ; RAM:inst1|stateMM0.Waiting ; RAM:inst1|stateMM0.Reading ; FPGA_CLK ; FPGA_CLK ; 0.000 ; 0.037 ; 0.477 ; +; 0.370 ; RAM:inst1|stateMM0.Waiting ; RAM:inst1|stateMM0.Writing ; FPGA_CLK ; FPGA_CLK ; 0.000 ; 0.037 ; 0.491 ; +; 0.439 ; RAM:inst1|stateMM0.Writing ; RAM:inst1|stateMM0.Waiting ; FPGA_CLK ; FPGA_CLK ; 0.000 ; 0.037 ; 0.560 ; +; 0.444 ; LedBlink:inst2|counter[11] ; LedBlink:inst2|counter[12] ; FPGA_CLK ; FPGA_CLK ; 0.000 ; 0.038 ; 0.566 ; +; 0.446 ; LedBlink:inst2|counter[1] ; LedBlink:inst2|counter[2] ; FPGA_CLK ; FPGA_CLK ; 0.000 ; 0.036 ; 0.566 ; +; 0.446 ; LedBlink:inst2|counter[9] ; LedBlink:inst2|counter[10] ; FPGA_CLK ; FPGA_CLK ; 0.000 ; 0.036 ; 0.566 ; +; 0.447 ; LedBlink:inst2|counter[7] ; LedBlink:inst2|counter[8] ; FPGA_CLK ; FPGA_CLK ; 0.000 ; 0.036 ; 0.567 ; +; 0.447 ; LedBlink:inst2|counter[17] ; LedBlink:inst2|counter[18] ; FPGA_CLK ; FPGA_CLK ; 0.000 ; 0.036 ; 0.567 ; +; 0.447 ; LedBlink:inst2|counter[13] ; LedBlink:inst2|counter[14] ; FPGA_CLK ; FPGA_CLK ; 0.000 ; 0.036 ; 0.567 ; +; 0.447 ; LedBlink:inst2|counter[15] ; LedBlink:inst2|counter[16] ; FPGA_CLK ; FPGA_CLK ; 0.000 ; 0.036 ; 0.567 ; +; 0.448 ; LedBlink:inst2|counter[3] ; LedBlink:inst2|counter[4] ; FPGA_CLK ; FPGA_CLK ; 0.000 ; 0.036 ; 0.568 ; +; 0.448 ; LedBlink:inst2|counter[5] ; LedBlink:inst2|counter[6] ; FPGA_CLK ; FPGA_CLK ; 0.000 ; 0.036 ; 0.568 ; +; 0.448 ; LedBlink:inst2|counter[21] ; LedBlink:inst2|counter[22] ; FPGA_CLK ; FPGA_CLK ; 0.000 ; 0.036 ; 0.568 ; +; 0.449 ; LedBlink:inst2|counter[19] ; LedBlink:inst2|counter[20] ; FPGA_CLK ; FPGA_CLK ; 0.000 ; 0.036 ; 0.569 ; +; 0.456 ; LedBlink:inst2|counter[12] ; LedBlink:inst2|counter[13] ; FPGA_CLK ; FPGA_CLK ; 0.000 ; 0.036 ; 0.576 ; +; 0.457 ; LedBlink:inst2|counter[8] ; LedBlink:inst2|counter[9] ; FPGA_CLK ; FPGA_CLK ; 0.000 ; 0.036 ; 0.577 ; +; 0.457 ; LedBlink:inst2|counter[10] ; LedBlink:inst2|counter[11] ; FPGA_CLK ; FPGA_CLK ; 0.000 ; 0.036 ; 0.577 ; +; 0.457 ; LedBlink:inst2|counter[2] ; LedBlink:inst2|counter[3] ; FPGA_CLK ; FPGA_CLK ; 0.000 ; 0.036 ; 0.577 ; +; 0.457 ; LedBlink:inst2|counter[4] ; LedBlink:inst2|counter[5] ; FPGA_CLK ; FPGA_CLK ; 0.000 ; 0.036 ; 0.577 ; +; 0.457 ; LedBlink:inst2|counter[18] ; LedBlink:inst2|counter[19] ; FPGA_CLK ; FPGA_CLK ; 0.000 ; 0.036 ; 0.577 ; +; 0.458 ; LedBlink:inst2|counter[0] ; LedBlink:inst2|counter[1] ; FPGA_CLK ; FPGA_CLK ; 0.000 ; 0.036 ; 0.578 ; +; 0.458 ; LedBlink:inst2|counter[14] ; LedBlink:inst2|counter[15] ; FPGA_CLK ; FPGA_CLK ; 0.000 ; 0.036 ; 0.578 ; +; 0.458 ; LedBlink:inst2|counter[6] ; LedBlink:inst2|counter[7] ; FPGA_CLK ; FPGA_CLK ; 0.000 ; 0.036 ; 0.578 ; +; 0.458 ; LedBlink:inst2|counter[16] ; LedBlink:inst2|counter[17] ; FPGA_CLK ; FPGA_CLK ; 0.000 ; 0.036 ; 0.578 ; +; 0.458 ; LedBlink:inst2|counter[10] ; LedBlink:inst2|counter[12] ; FPGA_CLK ; FPGA_CLK ; 0.000 ; 0.038 ; 0.580 ; +; 0.459 ; LedBlink:inst2|counter[20] ; LedBlink:inst2|counter[21] ; FPGA_CLK ; FPGA_CLK ; 0.000 ; 0.036 ; 0.579 ; +; 0.459 ; LedBlink:inst2|counter[22] ; LedBlink:inst2|counter[23] ; FPGA_CLK ; FPGA_CLK ; 0.000 ; 0.036 ; 0.579 ; +; 0.459 ; LedBlink:inst2|counter[12] ; LedBlink:inst2|counter[14] ; FPGA_CLK ; FPGA_CLK ; 0.000 ; 0.036 ; 0.579 ; +; 0.460 ; LedBlink:inst2|counter[8] ; LedBlink:inst2|counter[10] ; FPGA_CLK ; FPGA_CLK ; 0.000 ; 0.036 ; 0.580 ; +; 0.460 ; LedBlink:inst2|counter[2] ; LedBlink:inst2|counter[4] ; FPGA_CLK ; FPGA_CLK ; 0.000 ; 0.036 ; 0.580 ; +; 0.460 ; LedBlink:inst2|counter[4] ; LedBlink:inst2|counter[6] ; FPGA_CLK ; FPGA_CLK ; 0.000 ; 0.036 ; 0.580 ; +; 0.460 ; LedBlink:inst2|counter[18] ; LedBlink:inst2|counter[20] ; FPGA_CLK ; FPGA_CLK ; 0.000 ; 0.036 ; 0.580 ; +; 0.461 ; LedBlink:inst2|counter[0] ; LedBlink:inst2|counter[2] ; FPGA_CLK ; FPGA_CLK ; 0.000 ; 0.036 ; 0.581 ; +; 0.461 ; LedBlink:inst2|counter[6] ; LedBlink:inst2|counter[8] ; FPGA_CLK ; FPGA_CLK ; 0.000 ; 0.036 ; 0.581 ; +; 0.461 ; LedBlink:inst2|counter[16] ; LedBlink:inst2|counter[18] ; FPGA_CLK ; FPGA_CLK ; 0.000 ; 0.036 ; 0.581 ; +; 0.461 ; LedBlink:inst2|counter[14] ; LedBlink:inst2|counter[16] ; FPGA_CLK ; FPGA_CLK ; 0.000 ; 0.036 ; 0.581 ; +; 0.462 ; LedBlink:inst2|counter[20] ; LedBlink:inst2|counter[22] ; FPGA_CLK ; FPGA_CLK ; 0.000 ; 0.036 ; 0.582 ; +; 0.486 ; RAM:inst1|we0Prev ; RAM:inst1|stateMM0.Waiting ; FPGA_CLK ; FPGA_CLK ; 0.000 ; 0.037 ; 0.607 ; +; 0.507 ; LedBlink:inst2|counter[11] ; LedBlink:inst2|counter[13] ; FPGA_CLK ; FPGA_CLK ; 0.000 ; 0.038 ; 0.629 ; +; 0.509 ; LedBlink:inst2|counter[9] ; LedBlink:inst2|counter[11] ; FPGA_CLK ; FPGA_CLK ; 0.000 ; 0.036 ; 0.629 ; +; 0.509 ; LedBlink:inst2|counter[1] ; LedBlink:inst2|counter[3] ; FPGA_CLK ; FPGA_CLK ; 0.000 ; 0.036 ; 0.629 ; +; 0.510 ; LedBlink:inst2|counter[7] ; LedBlink:inst2|counter[9] ; FPGA_CLK ; FPGA_CLK ; 0.000 ; 0.036 ; 0.630 ; +; 0.510 ; LedBlink:inst2|counter[17] ; LedBlink:inst2|counter[19] ; FPGA_CLK ; FPGA_CLK ; 0.000 ; 0.036 ; 0.630 ; +; 0.510 ; LedBlink:inst2|counter[13] ; LedBlink:inst2|counter[15] ; FPGA_CLK ; FPGA_CLK ; 0.000 ; 0.036 ; 0.630 ; +; 0.510 ; LedBlink:inst2|counter[15] ; LedBlink:inst2|counter[17] ; FPGA_CLK ; FPGA_CLK ; 0.000 ; 0.036 ; 0.630 ; +; 0.510 ; LedBlink:inst2|counter[9] ; LedBlink:inst2|counter[12] ; FPGA_CLK ; FPGA_CLK ; 0.000 ; 0.038 ; 0.632 ; +; 0.510 ; LedBlink:inst2|counter[11] ; LedBlink:inst2|counter[14] ; FPGA_CLK ; FPGA_CLK ; 0.000 ; 0.038 ; 0.632 ; +; 0.511 ; LedBlink:inst2|counter[3] ; LedBlink:inst2|counter[5] ; FPGA_CLK ; FPGA_CLK ; 0.000 ; 0.036 ; 0.631 ; +; 0.511 ; LedBlink:inst2|counter[5] ; LedBlink:inst2|counter[7] ; FPGA_CLK ; FPGA_CLK ; 0.000 ; 0.036 ; 0.631 ; +; 0.511 ; LedBlink:inst2|counter[21] ; LedBlink:inst2|counter[23] ; FPGA_CLK ; FPGA_CLK ; 0.000 ; 0.036 ; 0.631 ; +; 0.512 ; LedBlink:inst2|counter[19] ; LedBlink:inst2|counter[21] ; FPGA_CLK ; FPGA_CLK ; 0.000 ; 0.036 ; 0.632 ; +; 0.512 ; LedBlink:inst2|counter[1] ; LedBlink:inst2|counter[4] ; FPGA_CLK ; FPGA_CLK ; 0.000 ; 0.036 ; 0.632 ; +; 0.513 ; LedBlink:inst2|counter[7] ; LedBlink:inst2|counter[10] ; FPGA_CLK ; FPGA_CLK ; 0.000 ; 0.036 ; 0.633 ; +; 0.513 ; LedBlink:inst2|counter[17] ; LedBlink:inst2|counter[20] ; FPGA_CLK ; FPGA_CLK ; 0.000 ; 0.036 ; 0.633 ; +; 0.513 ; LedBlink:inst2|counter[15] ; LedBlink:inst2|counter[18] ; FPGA_CLK ; FPGA_CLK ; 0.000 ; 0.036 ; 0.633 ; +; 0.513 ; LedBlink:inst2|counter[13] ; LedBlink:inst2|counter[16] ; FPGA_CLK ; FPGA_CLK ; 0.000 ; 0.036 ; 0.633 ; +; 0.514 ; LedBlink:inst2|counter[3] ; LedBlink:inst2|counter[6] ; FPGA_CLK ; FPGA_CLK ; 0.000 ; 0.036 ; 0.634 ; +; 0.514 ; LedBlink:inst2|counter[5] ; LedBlink:inst2|counter[8] ; FPGA_CLK ; FPGA_CLK ; 0.000 ; 0.036 ; 0.634 ; +; 0.515 ; LedBlink:inst2|counter[19] ; LedBlink:inst2|counter[22] ; FPGA_CLK ; FPGA_CLK ; 0.000 ; 0.036 ; 0.635 ; +; 0.521 ; LedBlink:inst2|counter[10] ; LedBlink:inst2|counter[13] ; FPGA_CLK ; FPGA_CLK ; 0.000 ; 0.038 ; 0.643 ; +; 0.522 ; LedBlink:inst2|counter[12] ; LedBlink:inst2|counter[15] ; FPGA_CLK ; FPGA_CLK ; 0.000 ; 0.036 ; 0.642 ; +; 0.523 ; LedBlink:inst2|counter[8] ; LedBlink:inst2|counter[11] ; FPGA_CLK ; FPGA_CLK ; 0.000 ; 0.036 ; 0.643 ; +; 0.523 ; LedBlink:inst2|counter[2] ; LedBlink:inst2|counter[5] ; FPGA_CLK ; FPGA_CLK ; 0.000 ; 0.036 ; 0.643 ; +; 0.523 ; LedBlink:inst2|counter[4] ; LedBlink:inst2|counter[7] ; FPGA_CLK ; FPGA_CLK ; 0.000 ; 0.036 ; 0.643 ; +; 0.523 ; LedBlink:inst2|counter[18] ; LedBlink:inst2|counter[21] ; FPGA_CLK ; FPGA_CLK ; 0.000 ; 0.036 ; 0.643 ; +; 0.524 ; LedBlink:inst2|counter[0] ; LedBlink:inst2|counter[3] ; FPGA_CLK ; FPGA_CLK ; 0.000 ; 0.036 ; 0.644 ; +; 0.524 ; LedBlink:inst2|counter[6] ; LedBlink:inst2|counter[9] ; FPGA_CLK ; FPGA_CLK ; 0.000 ; 0.036 ; 0.644 ; +; 0.524 ; LedBlink:inst2|counter[16] ; LedBlink:inst2|counter[19] ; FPGA_CLK ; FPGA_CLK ; 0.000 ; 0.036 ; 0.644 ; +; 0.524 ; LedBlink:inst2|counter[14] ; LedBlink:inst2|counter[17] ; FPGA_CLK ; FPGA_CLK ; 0.000 ; 0.036 ; 0.644 ; +; 0.524 ; LedBlink:inst2|counter[8] ; LedBlink:inst2|counter[12] ; FPGA_CLK ; FPGA_CLK ; 0.000 ; 0.038 ; 0.646 ; +; 0.524 ; LedBlink:inst2|counter[10] ; LedBlink:inst2|counter[14] ; FPGA_CLK ; FPGA_CLK ; 0.000 ; 0.038 ; 0.646 ; ++-------+----------------------------+----------------------------+--------------+-------------+--------------+------------+------------+ -+-------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------+ -; Fast 1200mV 0C Model Minimum Pulse Width: 'FPGA_CLK' ; -+--------+--------------+----------------+-----------------+----------+------------+--------------------------------------------------------------------------------------------------+ -; Slack ; Actual Width ; Required Width ; Type ; Clock ; Clock Edge ; Target ; -+--------+--------------+----------------+-----------------+----------+------------+--------------------------------------------------------------------------------------------------+ -; 19.206 ; 19.436 ; 0.230 ; Low Pulse Width ; FPGA_CLK ; Rise ; RAM:inst3|altsyncram:memory_rtl_0|altsyncram_8bi1:auto_generated|ram_block1a0~porta_address_reg0 ; -; 19.206 ; 19.436 ; 0.230 ; Low Pulse Width ; FPGA_CLK ; Rise ; RAM:inst3|altsyncram:memory_rtl_0|altsyncram_8bi1:auto_generated|ram_block1a0~porta_we_reg ; -; 19.207 ; 19.437 ; 0.230 ; Low Pulse Width ; FPGA_CLK ; Rise ; RAM:inst3|altsyncram:memory_rtl_0|altsyncram_8bi1:auto_generated|ram_block1a0~portb_address_reg0 ; -; 19.208 ; 19.438 ; 0.230 ; Low Pulse Width ; FPGA_CLK ; Rise ; RAM:inst3|altsyncram:memory_rtl_0|altsyncram_8bi1:auto_generated|ram_block1a0~porta_datain_reg0 ; -; 19.270 ; 19.454 ; 0.184 ; Low Pulse Width ; FPGA_CLK ; Rise ; LedBlink:inst2|counter[12] ; -; 19.270 ; 19.454 ; 0.184 ; Low Pulse Width ; FPGA_CLK ; Rise ; LedBlink:inst2|counter[13] ; -; 19.270 ; 19.454 ; 0.184 ; Low Pulse Width ; FPGA_CLK ; Rise ; LedBlink:inst2|counter[14] ; -; 19.270 ; 19.454 ; 0.184 ; Low Pulse Width ; FPGA_CLK ; Rise ; LedBlink:inst2|counter[15] ; -; 19.270 ; 19.454 ; 0.184 ; Low Pulse Width ; FPGA_CLK ; Rise ; LedBlink:inst2|counter[16] ; -; 19.270 ; 19.454 ; 0.184 ; Low Pulse Width ; FPGA_CLK ; Rise ; LedBlink:inst2|counter[17] ; -; 19.270 ; 19.454 ; 0.184 ; Low Pulse Width ; FPGA_CLK ; Rise ; LedBlink:inst2|counter[18] ; -; 19.270 ; 19.454 ; 0.184 ; Low Pulse Width ; FPGA_CLK ; Rise ; LedBlink:inst2|counter[19] ; -; 19.270 ; 19.454 ; 0.184 ; Low Pulse Width ; FPGA_CLK ; Rise ; LedBlink:inst2|counter[20] ; -; 19.270 ; 19.454 ; 0.184 ; Low Pulse Width ; FPGA_CLK ; Rise ; LedBlink:inst2|counter[21] ; -; 19.270 ; 19.454 ; 0.184 ; Low Pulse Width ; FPGA_CLK ; Rise ; LedBlink:inst2|counter[22] ; -; 19.270 ; 19.454 ; 0.184 ; Low Pulse Width ; FPGA_CLK ; Rise ; LedBlink:inst2|counter[23] ; -; 19.270 ; 19.454 ; 0.184 ; Low Pulse Width ; FPGA_CLK ; Rise ; LedBlink:inst2|ledBuf ; -; 19.270 ; 19.454 ; 0.184 ; Low Pulse Width ; FPGA_CLK ; Rise ; RAM:inst3|addr[0] ; -; 19.270 ; 19.454 ; 0.184 ; Low Pulse Width ; FPGA_CLK ; Rise ; RAM:inst3|addr[1] ; -; 19.270 ; 19.454 ; 0.184 ; Low Pulse Width ; FPGA_CLK ; Rise ; RAM:inst3|addr[2] ; -; 19.270 ; 19.454 ; 0.184 ; Low Pulse Width ; FPGA_CLK ; Rise ; RAM:inst3|addr[3] ; -; 19.270 ; 19.454 ; 0.184 ; Low Pulse Width ; FPGA_CLK ; Rise ; RAM:inst3|addr[4] ; -; 19.270 ; 19.454 ; 0.184 ; Low Pulse Width ; FPGA_CLK ; Rise ; RAM:inst3|addr[5] ; -; 19.270 ; 19.454 ; 0.184 ; Low Pulse Width ; FPGA_CLK ; Rise ; RAM:inst3|addr[6] ; -; 19.270 ; 19.454 ; 0.184 ; Low Pulse Width ; FPGA_CLK ; Rise ; RAM:inst3|addr[7] ; -; 19.270 ; 19.454 ; 0.184 ; Low Pulse Width ; FPGA_CLK ; Rise ; RAM:inst3|memory_rtl_0_bypass[13] ; -; 19.270 ; 19.454 ; 0.184 ; Low Pulse Width ; FPGA_CLK ; Rise ; RAM:inst3|memory_rtl_0_bypass[14] ; -; 19.270 ; 19.454 ; 0.184 ; Low Pulse Width ; FPGA_CLK ; Rise ; RAM:inst3|memory_rtl_0_bypass[15] ; -; 19.270 ; 19.454 ; 0.184 ; Low Pulse Width ; FPGA_CLK ; Rise ; RAM:inst3|memory_rtl_0_bypass[16] ; -; 19.270 ; 19.454 ; 0.184 ; Low Pulse Width ; FPGA_CLK ; Rise ; RAM:inst3|memory_rtl_0_bypass[2] ; -; 19.270 ; 19.454 ; 0.184 ; Low Pulse Width ; FPGA_CLK ; Rise ; RAM:inst3|memory_rtl_0_bypass[4] ; -; 19.270 ; 19.454 ; 0.184 ; Low Pulse Width ; FPGA_CLK ; Rise ; RAM:inst3|memory_rtl_0_bypass[6] ; -; 19.270 ; 19.454 ; 0.184 ; Low Pulse Width ; FPGA_CLK ; Rise ; RAM:inst3|memory_rtl_0_bypass[8] ; -; 19.271 ; 19.455 ; 0.184 ; Low Pulse Width ; FPGA_CLK ; Rise ; LedBlink:inst2|counter[0] ; -; 19.271 ; 19.455 ; 0.184 ; Low Pulse Width ; FPGA_CLK ; Rise ; LedBlink:inst2|counter[10] ; -; 19.271 ; 19.455 ; 0.184 ; Low Pulse Width ; FPGA_CLK ; Rise ; LedBlink:inst2|counter[11] ; -; 19.271 ; 19.455 ; 0.184 ; Low Pulse Width ; FPGA_CLK ; Rise ; LedBlink:inst2|counter[1] ; -; 19.271 ; 19.455 ; 0.184 ; Low Pulse Width ; FPGA_CLK ; Rise ; LedBlink:inst2|counter[2] ; -; 19.271 ; 19.455 ; 0.184 ; Low Pulse Width ; FPGA_CLK ; Rise ; LedBlink:inst2|counter[3] ; -; 19.271 ; 19.455 ; 0.184 ; Low Pulse Width ; FPGA_CLK ; Rise ; LedBlink:inst2|counter[4] ; -; 19.271 ; 19.455 ; 0.184 ; Low Pulse Width ; FPGA_CLK ; Rise ; LedBlink:inst2|counter[5] ; -; 19.271 ; 19.455 ; 0.184 ; Low Pulse Width ; FPGA_CLK ; Rise ; LedBlink:inst2|counter[6] ; -; 19.271 ; 19.455 ; 0.184 ; Low Pulse Width ; FPGA_CLK ; Rise ; LedBlink:inst2|counter[7] ; -; 19.271 ; 19.455 ; 0.184 ; Low Pulse Width ; FPGA_CLK ; Rise ; LedBlink:inst2|counter[8] ; -; 19.271 ; 19.455 ; 0.184 ; Low Pulse Width ; FPGA_CLK ; Rise ; LedBlink:inst2|counter[9] ; -; 19.271 ; 19.455 ; 0.184 ; Low Pulse Width ; FPGA_CLK ; Rise ; RAM:inst3|ce0Prev ; -; 19.271 ; 19.455 ; 0.184 ; Low Pulse Width ; FPGA_CLK ; Rise ; RAM:inst3|data0[0]~en ; -; 19.271 ; 19.455 ; 0.184 ; Low Pulse Width ; FPGA_CLK ; Rise ; RAM:inst3|data0[0]~reg0 ; -; 19.271 ; 19.455 ; 0.184 ; Low Pulse Width ; FPGA_CLK ; Rise ; RAM:inst3|data0[1]~en ; -; 19.271 ; 19.455 ; 0.184 ; Low Pulse Width ; FPGA_CLK ; Rise ; RAM:inst3|data0[1]~reg0 ; -; 19.271 ; 19.455 ; 0.184 ; Low Pulse Width ; FPGA_CLK ; Rise ; RAM:inst3|data0[2]~en ; -; 19.271 ; 19.455 ; 0.184 ; Low Pulse Width ; FPGA_CLK ; Rise ; RAM:inst3|data0[2]~reg0 ; -; 19.271 ; 19.455 ; 0.184 ; Low Pulse Width ; FPGA_CLK ; Rise ; RAM:inst3|data0[3]~en ; -; 19.271 ; 19.455 ; 0.184 ; Low Pulse Width ; FPGA_CLK ; Rise ; RAM:inst3|data0[3]~reg0 ; -; 19.271 ; 19.455 ; 0.184 ; Low Pulse Width ; FPGA_CLK ; Rise ; RAM:inst3|data0[4]~en ; -; 19.271 ; 19.455 ; 0.184 ; Low Pulse Width ; FPGA_CLK ; Rise ; RAM:inst3|data0[4]~reg0 ; -; 19.271 ; 19.455 ; 0.184 ; Low Pulse Width ; FPGA_CLK ; Rise ; RAM:inst3|data0[5]~en ; -; 19.271 ; 19.455 ; 0.184 ; Low Pulse Width ; FPGA_CLK ; Rise ; RAM:inst3|data0[5]~reg0 ; -; 19.271 ; 19.455 ; 0.184 ; Low Pulse Width ; FPGA_CLK ; Rise ; RAM:inst3|data0[6]~en ; -; 19.271 ; 19.455 ; 0.184 ; Low Pulse Width ; FPGA_CLK ; Rise ; RAM:inst3|data0[6]~reg0 ; -; 19.271 ; 19.455 ; 0.184 ; Low Pulse Width ; FPGA_CLK ; Rise ; RAM:inst3|data0[7]~en ; -; 19.271 ; 19.455 ; 0.184 ; Low Pulse Width ; FPGA_CLK ; Rise ; RAM:inst3|data0[7]~reg0 ; -; 19.271 ; 19.455 ; 0.184 ; Low Pulse Width ; FPGA_CLK ; Rise ; RAM:inst3|memory_rtl_0_bypass[0] ; -; 19.271 ; 19.455 ; 0.184 ; Low Pulse Width ; FPGA_CLK ; Rise ; RAM:inst3|memory_rtl_0_bypass[10] ; -; 19.271 ; 19.455 ; 0.184 ; Low Pulse Width ; FPGA_CLK ; Rise ; RAM:inst3|memory_rtl_0_bypass[11] ; -; 19.271 ; 19.455 ; 0.184 ; Low Pulse Width ; FPGA_CLK ; Rise ; RAM:inst3|memory_rtl_0_bypass[12] ; -; 19.271 ; 19.455 ; 0.184 ; Low Pulse Width ; FPGA_CLK ; Rise ; RAM:inst3|memory_rtl_0_bypass[17] ; -; 19.271 ; 19.455 ; 0.184 ; Low Pulse Width ; FPGA_CLK ; Rise ; RAM:inst3|memory_rtl_0_bypass[18] ; -; 19.271 ; 19.455 ; 0.184 ; Low Pulse Width ; FPGA_CLK ; Rise ; RAM:inst3|memory_rtl_0_bypass[19] ; -; 19.271 ; 19.455 ; 0.184 ; Low Pulse Width ; FPGA_CLK ; Rise ; RAM:inst3|memory_rtl_0_bypass[1] ; -; 19.271 ; 19.455 ; 0.184 ; Low Pulse Width ; FPGA_CLK ; Rise ; RAM:inst3|memory_rtl_0_bypass[20] ; -; 19.271 ; 19.455 ; 0.184 ; Low Pulse Width ; FPGA_CLK ; Rise ; RAM:inst3|memory_rtl_0_bypass[21] ; -; 19.271 ; 19.455 ; 0.184 ; Low Pulse Width ; FPGA_CLK ; Rise ; RAM:inst3|memory_rtl_0_bypass[22] ; -; 19.271 ; 19.455 ; 0.184 ; Low Pulse Width ; FPGA_CLK ; Rise ; RAM:inst3|memory_rtl_0_bypass[23] ; -; 19.271 ; 19.455 ; 0.184 ; Low Pulse Width ; FPGA_CLK ; Rise ; RAM:inst3|memory_rtl_0_bypass[24] ; -; 19.271 ; 19.455 ; 0.184 ; Low Pulse Width ; FPGA_CLK ; Rise ; RAM:inst3|memory_rtl_0_bypass[3] ; -; 19.271 ; 19.455 ; 0.184 ; Low Pulse Width ; FPGA_CLK ; Rise ; RAM:inst3|memory_rtl_0_bypass[5] ; -; 19.271 ; 19.455 ; 0.184 ; Low Pulse Width ; FPGA_CLK ; Rise ; RAM:inst3|memory_rtl_0_bypass[7] ; -; 19.271 ; 19.455 ; 0.184 ; Low Pulse Width ; FPGA_CLK ; Rise ; RAM:inst3|memory_rtl_0_bypass[9] ; -; 19.271 ; 19.455 ; 0.184 ; Low Pulse Width ; FPGA_CLK ; Rise ; RAM:inst3|oe0Prev ; -; 19.271 ; 19.455 ; 0.184 ; Low Pulse Width ; FPGA_CLK ; Rise ; RAM:inst3|stateMM0.Reading ; -; 19.271 ; 19.455 ; 0.184 ; Low Pulse Width ; FPGA_CLK ; Rise ; RAM:inst3|stateMM0.Waiting ; -; 19.271 ; 19.455 ; 0.184 ; Low Pulse Width ; FPGA_CLK ; Rise ; RAM:inst3|stateMM0.Writing ; -; 19.271 ; 19.455 ; 0.184 ; Low Pulse Width ; FPGA_CLK ; Rise ; RAM:inst3|we0Prev ; -; 19.410 ; 19.410 ; 0.000 ; Low Pulse Width ; FPGA_CLK ; Rise ; inst|altpll_component|auto_generated|pll1|clk[0] ; -; 19.410 ; 19.410 ; 0.000 ; Low Pulse Width ; FPGA_CLK ; Rise ; inst|altpll_component|auto_generated|pll1|clk[1] ; -; 19.410 ; 19.410 ; 0.000 ; Low Pulse Width ; FPGA_CLK ; Rise ; inst|altpll_component|auto_generated|pll1|observablevcoout ; -; 19.447 ; 19.447 ; 0.000 ; Low Pulse Width ; FPGA_CLK ; Rise ; FPGA_CLK~input|o ; -; 19.449 ; 19.449 ; 0.000 ; Low Pulse Width ; FPGA_CLK ; Rise ; inst2|ledBuf|clk ; -; 19.449 ; 19.449 ; 0.000 ; Low Pulse Width ; FPGA_CLK ; Rise ; inst3|ce0Prev|clk ; -; 19.449 ; 19.449 ; 0.000 ; Low Pulse Width ; FPGA_CLK ; Rise ; inst3|data0[0]~en|clk ; -; 19.449 ; 19.449 ; 0.000 ; Low Pulse Width ; FPGA_CLK ; Rise ; inst3|data0[1]~en|clk ; -; 19.449 ; 19.449 ; 0.000 ; Low Pulse Width ; FPGA_CLK ; Rise ; inst3|data0[2]~en|clk ; -; 19.449 ; 19.449 ; 0.000 ; Low Pulse Width ; FPGA_CLK ; Rise ; inst3|data0[3]~en|clk ; -; 19.449 ; 19.449 ; 0.000 ; Low Pulse Width ; FPGA_CLK ; Rise ; inst3|data0[4]~en|clk ; -; 19.449 ; 19.449 ; 0.000 ; Low Pulse Width ; FPGA_CLK ; Rise ; inst3|data0[5]~en|clk ; -; 19.449 ; 19.449 ; 0.000 ; Low Pulse Width ; FPGA_CLK ; Rise ; inst3|data0[6]~en|clk ; -; 19.449 ; 19.449 ; 0.000 ; Low Pulse Width ; FPGA_CLK ; Rise ; inst3|data0[7]~en|clk ; -; 19.449 ; 19.449 ; 0.000 ; Low Pulse Width ; FPGA_CLK ; Rise ; inst3|memory_rtl_0_bypass[0]|clk ; -; 19.449 ; 19.449 ; 0.000 ; Low Pulse Width ; FPGA_CLK ; Rise ; inst3|memory_rtl_0_bypass[1]|clk ; -+--------+--------------+----------------+-----------------+----------+------------+--------------------------------------------------------------------------------------------------+ ++---------------------------------------------------------------------------------------------------------------+ +; Fast 1200mV 0C Model Minimum Pulse Width: 'FPGA_CLK' ; ++--------+--------------+----------------+-----------------+----------+------------+----------------------------+ +; Slack ; Actual Width ; Required Width ; Type ; Clock ; Clock Edge ; Target ; ++--------+--------------+----------------+-----------------+----------+------------+----------------------------+ +; 19.267 ; 19.451 ; 0.184 ; Low Pulse Width ; FPGA_CLK ; Rise ; LedBlink:inst2|counter[0] ; +; 19.267 ; 19.451 ; 0.184 ; Low Pulse Width ; FPGA_CLK ; Rise ; LedBlink:inst2|counter[10] ; +; 19.267 ; 19.451 ; 0.184 ; Low Pulse Width ; FPGA_CLK ; Rise ; LedBlink:inst2|counter[11] ; +; 19.267 ; 19.451 ; 0.184 ; Low Pulse Width ; FPGA_CLK ; Rise ; LedBlink:inst2|counter[1] ; +; 19.267 ; 19.451 ; 0.184 ; Low Pulse Width ; FPGA_CLK ; Rise ; LedBlink:inst2|counter[2] ; +; 19.267 ; 19.451 ; 0.184 ; Low Pulse Width ; FPGA_CLK ; Rise ; LedBlink:inst2|counter[3] ; +; 19.267 ; 19.451 ; 0.184 ; Low Pulse Width ; FPGA_CLK ; Rise ; LedBlink:inst2|counter[4] ; +; 19.267 ; 19.451 ; 0.184 ; Low Pulse Width ; FPGA_CLK ; Rise ; LedBlink:inst2|counter[5] ; +; 19.267 ; 19.451 ; 0.184 ; Low Pulse Width ; FPGA_CLK ; Rise ; LedBlink:inst2|counter[6] ; +; 19.267 ; 19.451 ; 0.184 ; Low Pulse Width ; FPGA_CLK ; Rise ; LedBlink:inst2|counter[7] ; +; 19.267 ; 19.451 ; 0.184 ; Low Pulse Width ; FPGA_CLK ; Rise ; LedBlink:inst2|counter[8] ; +; 19.267 ; 19.451 ; 0.184 ; Low Pulse Width ; FPGA_CLK ; Rise ; LedBlink:inst2|counter[9] ; +; 19.267 ; 19.451 ; 0.184 ; Low Pulse Width ; FPGA_CLK ; Rise ; RAM:inst1|data0[0]~en ; +; 19.267 ; 19.451 ; 0.184 ; Low Pulse Width ; FPGA_CLK ; Rise ; RAM:inst1|data0[1]~en ; +; 19.267 ; 19.451 ; 0.184 ; Low Pulse Width ; FPGA_CLK ; Rise ; RAM:inst1|data0[1]~reg0 ; +; 19.267 ; 19.451 ; 0.184 ; Low Pulse Width ; FPGA_CLK ; Rise ; RAM:inst1|data0[2]~en ; +; 19.267 ; 19.451 ; 0.184 ; Low Pulse Width ; FPGA_CLK ; Rise ; RAM:inst1|data0[2]~reg0 ; +; 19.267 ; 19.451 ; 0.184 ; Low Pulse Width ; FPGA_CLK ; Rise ; RAM:inst1|data0[4]~en ; +; 19.267 ; 19.451 ; 0.184 ; Low Pulse Width ; FPGA_CLK ; Rise ; RAM:inst1|data0[4]~reg0 ; +; 19.267 ; 19.451 ; 0.184 ; Low Pulse Width ; FPGA_CLK ; Rise ; RAM:inst1|data0[5]~en ; +; 19.267 ; 19.451 ; 0.184 ; Low Pulse Width ; FPGA_CLK ; Rise ; RAM:inst1|memory[0][0] ; +; 19.267 ; 19.451 ; 0.184 ; Low Pulse Width ; FPGA_CLK ; Rise ; RAM:inst1|memory[0][16] ; +; 19.267 ; 19.451 ; 0.184 ; Low Pulse Width ; FPGA_CLK ; Rise ; RAM:inst1|memory[0][1] ; +; 19.267 ; 19.451 ; 0.184 ; Low Pulse Width ; FPGA_CLK ; Rise ; RAM:inst1|memory[0][2] ; +; 19.267 ; 19.451 ; 0.184 ; Low Pulse Width ; FPGA_CLK ; Rise ; RAM:inst1|memory[0][3] ; +; 19.267 ; 19.451 ; 0.184 ; Low Pulse Width ; FPGA_CLK ; Rise ; RAM:inst1|memory[0][4] ; +; 19.267 ; 19.451 ; 0.184 ; Low Pulse Width ; FPGA_CLK ; Rise ; RAM:inst1|memory[0][5] ; +; 19.267 ; 19.451 ; 0.184 ; Low Pulse Width ; FPGA_CLK ; Rise ; RAM:inst1|memory[0][6] ; +; 19.267 ; 19.451 ; 0.184 ; Low Pulse Width ; FPGA_CLK ; Rise ; RAM:inst1|memory[0][7] ; +; 19.267 ; 19.451 ; 0.184 ; Low Pulse Width ; FPGA_CLK ; Rise ; RAM:inst1|memory[100][10] ; +; 19.267 ; 19.451 ; 0.184 ; Low Pulse Width ; FPGA_CLK ; Rise ; RAM:inst1|memory[100][11] ; +; 19.267 ; 19.451 ; 0.184 ; Low Pulse Width ; FPGA_CLK ; Rise ; RAM:inst1|memory[100][12] ; +; 19.267 ; 19.451 ; 0.184 ; Low Pulse Width ; FPGA_CLK ; Rise ; RAM:inst1|memory[100][13] ; +; 19.267 ; 19.451 ; 0.184 ; Low Pulse Width ; FPGA_CLK ; Rise ; RAM:inst1|memory[100][14] ; +; 19.267 ; 19.451 ; 0.184 ; Low Pulse Width ; FPGA_CLK ; Rise ; RAM:inst1|memory[100][15] ; +; 19.267 ; 19.451 ; 0.184 ; Low Pulse Width ; FPGA_CLK ; Rise ; RAM:inst1|memory[101][16] ; +; 19.267 ; 19.451 ; 0.184 ; Low Pulse Width ; FPGA_CLK ; Rise ; RAM:inst1|memory[101][17] ; +; 19.267 ; 19.451 ; 0.184 ; Low Pulse Width ; FPGA_CLK ; Rise ; RAM:inst1|memory[101][26] ; +; 19.267 ; 19.451 ; 0.184 ; Low Pulse Width ; FPGA_CLK ; Rise ; RAM:inst1|memory[102][16] ; +; 19.267 ; 19.451 ; 0.184 ; Low Pulse Width ; FPGA_CLK ; Rise ; RAM:inst1|memory[102][18] ; +; 19.267 ; 19.451 ; 0.184 ; Low Pulse Width ; FPGA_CLK ; Rise ; RAM:inst1|memory[102][19] ; +; 19.267 ; 19.451 ; 0.184 ; Low Pulse Width ; FPGA_CLK ; Rise ; RAM:inst1|memory[102][20] ; +; 19.267 ; 19.451 ; 0.184 ; Low Pulse Width ; FPGA_CLK ; Rise ; RAM:inst1|memory[102][21] ; +; 19.267 ; 19.451 ; 0.184 ; Low Pulse Width ; FPGA_CLK ; Rise ; RAM:inst1|memory[102][22] ; +; 19.267 ; 19.451 ; 0.184 ; Low Pulse Width ; FPGA_CLK ; Rise ; RAM:inst1|memory[102][23] ; +; 19.267 ; 19.451 ; 0.184 ; Low Pulse Width ; FPGA_CLK ; Rise ; RAM:inst1|memory[102][25] ; +; 19.267 ; 19.451 ; 0.184 ; Low Pulse Width ; FPGA_CLK ; Rise ; RAM:inst1|memory[102][27] ; +; 19.267 ; 19.451 ; 0.184 ; Low Pulse Width ; FPGA_CLK ; Rise ; RAM:inst1|memory[102][28] ; +; 19.267 ; 19.451 ; 0.184 ; Low Pulse Width ; FPGA_CLK ; Rise ; RAM:inst1|memory[102][29] ; +; 19.267 ; 19.451 ; 0.184 ; Low Pulse Width ; FPGA_CLK ; Rise ; RAM:inst1|memory[102][30] ; +; 19.267 ; 19.451 ; 0.184 ; Low Pulse Width ; FPGA_CLK ; Rise ; RAM:inst1|memory[102][31] ; +; 19.267 ; 19.451 ; 0.184 ; Low Pulse Width ; FPGA_CLK ; Rise ; RAM:inst1|memory[103][24] ; +; 19.267 ; 19.451 ; 0.184 ; Low Pulse Width ; FPGA_CLK ; Rise ; RAM:inst1|memory[103][25] ; +; 19.267 ; 19.451 ; 0.184 ; Low Pulse Width ; FPGA_CLK ; Rise ; RAM:inst1|memory[103][26] ; +; 19.267 ; 19.451 ; 0.184 ; Low Pulse Width ; FPGA_CLK ; Rise ; RAM:inst1|memory[103][27] ; +; 19.267 ; 19.451 ; 0.184 ; Low Pulse Width ; FPGA_CLK ; Rise ; RAM:inst1|memory[103][28] ; +; 19.267 ; 19.451 ; 0.184 ; Low Pulse Width ; FPGA_CLK ; Rise ; RAM:inst1|memory[103][29] ; +; 19.267 ; 19.451 ; 0.184 ; Low Pulse Width ; FPGA_CLK ; Rise ; RAM:inst1|memory[103][30] ; +; 19.267 ; 19.451 ; 0.184 ; Low Pulse Width ; FPGA_CLK ; Rise ; RAM:inst1|memory[103][31] ; +; 19.267 ; 19.451 ; 0.184 ; Low Pulse Width ; FPGA_CLK ; Rise ; RAM:inst1|memory[105][10] ; +; 19.267 ; 19.451 ; 0.184 ; Low Pulse Width ; FPGA_CLK ; Rise ; RAM:inst1|memory[105][11] ; +; 19.267 ; 19.451 ; 0.184 ; Low Pulse Width ; FPGA_CLK ; Rise ; RAM:inst1|memory[105][12] ; +; 19.267 ; 19.451 ; 0.184 ; Low Pulse Width ; FPGA_CLK ; Rise ; RAM:inst1|memory[105][13] ; +; 19.267 ; 19.451 ; 0.184 ; Low Pulse Width ; FPGA_CLK ; Rise ; RAM:inst1|memory[105][14] ; +; 19.267 ; 19.451 ; 0.184 ; Low Pulse Width ; FPGA_CLK ; Rise ; RAM:inst1|memory[105][15] ; +; 19.267 ; 19.451 ; 0.184 ; Low Pulse Width ; FPGA_CLK ; Rise ; RAM:inst1|memory[105][24] ; +; 19.267 ; 19.451 ; 0.184 ; Low Pulse Width ; FPGA_CLK ; Rise ; RAM:inst1|memory[105][25] ; +; 19.267 ; 19.451 ; 0.184 ; Low Pulse Width ; FPGA_CLK ; Rise ; RAM:inst1|memory[105][26] ; +; 19.267 ; 19.451 ; 0.184 ; Low Pulse Width ; FPGA_CLK ; Rise ; RAM:inst1|memory[105][27] ; +; 19.267 ; 19.451 ; 0.184 ; Low Pulse Width ; FPGA_CLK ; Rise ; RAM:inst1|memory[105][28] ; +; 19.267 ; 19.451 ; 0.184 ; Low Pulse Width ; FPGA_CLK ; Rise ; RAM:inst1|memory[105][29] ; +; 19.267 ; 19.451 ; 0.184 ; Low Pulse Width ; FPGA_CLK ; Rise ; RAM:inst1|memory[105][30] ; +; 19.267 ; 19.451 ; 0.184 ; Low Pulse Width ; FPGA_CLK ; Rise ; RAM:inst1|memory[105][31] ; +; 19.267 ; 19.451 ; 0.184 ; Low Pulse Width ; FPGA_CLK ; Rise ; RAM:inst1|memory[105][8] ; +; 19.267 ; 19.451 ; 0.184 ; Low Pulse Width ; FPGA_CLK ; Rise ; RAM:inst1|memory[105][9] ; +; 19.267 ; 19.451 ; 0.184 ; Low Pulse Width ; FPGA_CLK ; Rise ; RAM:inst1|memory[107][25] ; +; 19.267 ; 19.451 ; 0.184 ; Low Pulse Width ; FPGA_CLK ; Rise ; RAM:inst1|memory[107][26] ; +; 19.267 ; 19.451 ; 0.184 ; Low Pulse Width ; FPGA_CLK ; Rise ; RAM:inst1|memory[107][27] ; +; 19.267 ; 19.451 ; 0.184 ; Low Pulse Width ; FPGA_CLK ; Rise ; RAM:inst1|memory[107][28] ; +; 19.267 ; 19.451 ; 0.184 ; Low Pulse Width ; FPGA_CLK ; Rise ; RAM:inst1|memory[107][29] ; +; 19.267 ; 19.451 ; 0.184 ; Low Pulse Width ; FPGA_CLK ; Rise ; RAM:inst1|memory[107][30] ; +; 19.267 ; 19.451 ; 0.184 ; Low Pulse Width ; FPGA_CLK ; Rise ; RAM:inst1|memory[107][31] ; +; 19.267 ; 19.451 ; 0.184 ; Low Pulse Width ; FPGA_CLK ; Rise ; RAM:inst1|memory[108][16] ; +; 19.267 ; 19.451 ; 0.184 ; Low Pulse Width ; FPGA_CLK ; Rise ; RAM:inst1|memory[108][17] ; +; 19.267 ; 19.451 ; 0.184 ; Low Pulse Width ; FPGA_CLK ; Rise ; RAM:inst1|memory[108][18] ; +; 19.267 ; 19.451 ; 0.184 ; Low Pulse Width ; FPGA_CLK ; Rise ; RAM:inst1|memory[108][19] ; +; 19.267 ; 19.451 ; 0.184 ; Low Pulse Width ; FPGA_CLK ; Rise ; RAM:inst1|memory[108][20] ; +; 19.267 ; 19.451 ; 0.184 ; Low Pulse Width ; FPGA_CLK ; Rise ; RAM:inst1|memory[108][21] ; +; 19.267 ; 19.451 ; 0.184 ; Low Pulse Width ; FPGA_CLK ; Rise ; RAM:inst1|memory[108][22] ; +; 19.267 ; 19.451 ; 0.184 ; Low Pulse Width ; FPGA_CLK ; Rise ; RAM:inst1|memory[108][23] ; +; 19.267 ; 19.451 ; 0.184 ; Low Pulse Width ; FPGA_CLK ; Rise ; RAM:inst1|memory[108][24] ; +; 19.267 ; 19.451 ; 0.184 ; Low Pulse Width ; FPGA_CLK ; Rise ; RAM:inst1|memory[108][25] ; +; 19.267 ; 19.451 ; 0.184 ; Low Pulse Width ; FPGA_CLK ; Rise ; RAM:inst1|memory[108][27] ; +; 19.267 ; 19.451 ; 0.184 ; Low Pulse Width ; FPGA_CLK ; Rise ; RAM:inst1|memory[108][28] ; +; 19.267 ; 19.451 ; 0.184 ; Low Pulse Width ; FPGA_CLK ; Rise ; RAM:inst1|memory[108][29] ; +; 19.267 ; 19.451 ; 0.184 ; Low Pulse Width ; FPGA_CLK ; Rise ; RAM:inst1|memory[108][30] ; +; 19.267 ; 19.451 ; 0.184 ; Low Pulse Width ; FPGA_CLK ; Rise ; RAM:inst1|memory[108][31] ; +; 19.267 ; 19.451 ; 0.184 ; Low Pulse Width ; FPGA_CLK ; Rise ; RAM:inst1|memory[109][16] ; +; 19.267 ; 19.451 ; 0.184 ; Low Pulse Width ; FPGA_CLK ; Rise ; RAM:inst1|memory[109][17] ; +; 19.267 ; 19.451 ; 0.184 ; Low Pulse Width ; FPGA_CLK ; Rise ; RAM:inst1|memory[109][18] ; ++--------+--------------+----------------+-----------------+----------+------------+----------------------------+ +-------------------------------------------------------------------------+ @@ -1615,27 +1628,30 @@ No paths to report. +-------------+------------+-------+-------+------------+-----------------+ ; Data Port ; Clock Port ; Rise ; Fall ; Clock Edge ; Clock Reference ; +-------------+------------+-------+-------+------------+-----------------+ -; Address[*] ; FPGA_CLK ; 1.870 ; 2.790 ; Rise ; FPGA_CLK ; -; Address[0] ; FPGA_CLK ; 1.406 ; 2.280 ; Rise ; FPGA_CLK ; -; Address[1] ; FPGA_CLK ; 1.608 ; 2.521 ; Rise ; FPGA_CLK ; -; Address[2] ; FPGA_CLK ; 1.670 ; 2.595 ; Rise ; FPGA_CLK ; -; Address[3] ; FPGA_CLK ; 1.725 ; 2.665 ; Rise ; FPGA_CLK ; -; Address[4] ; FPGA_CLK ; 1.852 ; 2.772 ; Rise ; FPGA_CLK ; -; Address[5] ; FPGA_CLK ; 1.870 ; 2.790 ; Rise ; FPGA_CLK ; -; Address[6] ; FPGA_CLK ; 1.572 ; 2.448 ; Rise ; FPGA_CLK ; -; Address[7] ; FPGA_CLK ; 1.347 ; 2.217 ; Rise ; FPGA_CLK ; -; Data[*] ; FPGA_CLK ; 1.188 ; 2.010 ; Rise ; FPGA_CLK ; -; Data[0] ; FPGA_CLK ; 1.055 ; 1.824 ; Rise ; FPGA_CLK ; -; Data[1] ; FPGA_CLK ; 1.188 ; 2.010 ; Rise ; FPGA_CLK ; -; Data[2] ; FPGA_CLK ; 1.172 ; 1.992 ; Rise ; FPGA_CLK ; -; Data[3] ; FPGA_CLK ; 1.169 ; 1.978 ; Rise ; FPGA_CLK ; -; Data[4] ; FPGA_CLK ; 1.110 ; 1.938 ; Rise ; FPGA_CLK ; -; Data[5] ; FPGA_CLK ; 1.137 ; 1.962 ; Rise ; FPGA_CLK ; -; Data[6] ; FPGA_CLK ; 1.087 ; 1.899 ; Rise ; FPGA_CLK ; -; Data[7] ; FPGA_CLK ; 1.097 ; 1.912 ; Rise ; FPGA_CLK ; -; nCE ; FPGA_CLK ; 2.918 ; 3.911 ; Rise ; FPGA_CLK ; -; nOE ; FPGA_CLK ; 2.320 ; 3.258 ; Rise ; FPGA_CLK ; -; nWE ; FPGA_CLK ; 2.406 ; 3.114 ; Rise ; FPGA_CLK ; +; Address[*] ; FPGA_CLK ; 1.836 ; 2.736 ; Rise ; FPGA_CLK ; +; Address[0] ; FPGA_CLK ; 1.031 ; 1.849 ; Rise ; FPGA_CLK ; +; Address[1] ; FPGA_CLK ; 1.836 ; 2.736 ; Rise ; FPGA_CLK ; +; Address[2] ; FPGA_CLK ; 1.354 ; 2.184 ; Rise ; FPGA_CLK ; +; Address[3] ; FPGA_CLK ; 1.339 ; 2.169 ; Rise ; FPGA_CLK ; +; Address[4] ; FPGA_CLK ; 1.111 ; 1.918 ; Rise ; FPGA_CLK ; +; Address[5] ; FPGA_CLK ; 1.294 ; 2.137 ; Rise ; FPGA_CLK ; +; Address[6] ; FPGA_CLK ; 1.721 ; 2.594 ; Rise ; FPGA_CLK ; +; Address[7] ; FPGA_CLK ; 1.083 ; 1.868 ; Rise ; FPGA_CLK ; +; Data[*] ; FPGA_CLK ; 4.427 ; 5.712 ; Rise ; FPGA_CLK ; +; Data[0] ; FPGA_CLK ; 3.061 ; 4.146 ; Rise ; FPGA_CLK ; +; Data[1] ; FPGA_CLK ; 3.276 ; 4.470 ; Rise ; FPGA_CLK ; +; Data[2] ; FPGA_CLK ; 4.427 ; 5.712 ; Rise ; FPGA_CLK ; +; Data[3] ; FPGA_CLK ; 4.390 ; 5.665 ; Rise ; FPGA_CLK ; +; Data[4] ; FPGA_CLK ; 3.517 ; 4.639 ; Rise ; FPGA_CLK ; +; Data[5] ; FPGA_CLK ; 2.712 ; 3.815 ; Rise ; FPGA_CLK ; +; Data[6] ; FPGA_CLK ; 3.848 ; 5.045 ; Rise ; FPGA_CLK ; +; Data[7] ; FPGA_CLK ; 4.014 ; 5.225 ; Rise ; FPGA_CLK ; +; nBL[*] ; FPGA_CLK ; 1.234 ; 1.868 ; Rise ; FPGA_CLK ; +; nBL[0] ; FPGA_CLK ; 1.081 ; 1.698 ; Rise ; FPGA_CLK ; +; nBL[1] ; FPGA_CLK ; 1.234 ; 1.868 ; Rise ; FPGA_CLK ; +; nCE ; FPGA_CLK ; 3.315 ; 3.965 ; Rise ; FPGA_CLK ; +; nOE ; FPGA_CLK ; 1.461 ; 2.229 ; Rise ; FPGA_CLK ; +; nWE ; FPGA_CLK ; 7.519 ; 7.524 ; Rise ; FPGA_CLK ; +-------------+------------+-------+-------+------------+-----------------+ @@ -1644,27 +1660,30 @@ No paths to report. +-------------+------------+--------+--------+------------+-----------------+ ; Data Port ; Clock Port ; Rise ; Fall ; Clock Edge ; Clock Reference ; +-------------+------------+--------+--------+------------+-----------------+ -; Address[*] ; FPGA_CLK ; -0.844 ; -1.640 ; Rise ; FPGA_CLK ; -; Address[0] ; FPGA_CLK ; -0.898 ; -1.692 ; Rise ; FPGA_CLK ; -; Address[1] ; FPGA_CLK ; -1.064 ; -1.890 ; Rise ; FPGA_CLK ; -; Address[2] ; FPGA_CLK ; -1.138 ; -1.979 ; Rise ; FPGA_CLK ; -; Address[3] ; FPGA_CLK ; -1.179 ; -2.027 ; Rise ; FPGA_CLK ; -; Address[4] ; FPGA_CLK ; -1.018 ; -1.859 ; Rise ; FPGA_CLK ; -; Address[5] ; FPGA_CLK ; -1.118 ; -1.954 ; Rise ; FPGA_CLK ; -; Address[6] ; FPGA_CLK ; -1.040 ; -1.852 ; Rise ; FPGA_CLK ; -; Address[7] ; FPGA_CLK ; -0.844 ; -1.640 ; Rise ; FPGA_CLK ; -; Data[*] ; FPGA_CLK ; -0.548 ; -1.342 ; Rise ; FPGA_CLK ; -; Data[0] ; FPGA_CLK ; -0.548 ; -1.342 ; Rise ; FPGA_CLK ; -; Data[1] ; FPGA_CLK ; -0.644 ; -1.456 ; Rise ; FPGA_CLK ; -; Data[2] ; FPGA_CLK ; -0.761 ; -1.594 ; Rise ; FPGA_CLK ; -; Data[3] ; FPGA_CLK ; -0.751 ; -1.571 ; Rise ; FPGA_CLK ; -; Data[4] ; FPGA_CLK ; -0.614 ; -1.429 ; Rise ; FPGA_CLK ; -; Data[5] ; FPGA_CLK ; -0.652 ; -1.468 ; Rise ; FPGA_CLK ; -; Data[6] ; FPGA_CLK ; -0.620 ; -1.417 ; Rise ; FPGA_CLK ; -; Data[7] ; FPGA_CLK ; -0.751 ; -1.567 ; Rise ; FPGA_CLK ; -; nCE ; FPGA_CLK ; -0.933 ; -1.757 ; Rise ; FPGA_CLK ; -; nOE ; FPGA_CLK ; -0.970 ; -1.777 ; Rise ; FPGA_CLK ; -; nWE ; FPGA_CLK ; -0.868 ; -1.640 ; Rise ; FPGA_CLK ; +; Address[*] ; FPGA_CLK ; -0.819 ; -1.626 ; Rise ; FPGA_CLK ; +; Address[0] ; FPGA_CLK ; -0.819 ; -1.626 ; Rise ; FPGA_CLK ; +; Address[1] ; FPGA_CLK ; -1.593 ; -2.478 ; Rise ; FPGA_CLK ; +; Address[2] ; FPGA_CLK ; -1.130 ; -1.949 ; Rise ; FPGA_CLK ; +; Address[3] ; FPGA_CLK ; -1.115 ; -1.935 ; Rise ; FPGA_CLK ; +; Address[4] ; FPGA_CLK ; -0.897 ; -1.694 ; Rise ; FPGA_CLK ; +; Address[5] ; FPGA_CLK ; -1.072 ; -1.904 ; Rise ; FPGA_CLK ; +; Address[6] ; FPGA_CLK ; -1.483 ; -2.343 ; Rise ; FPGA_CLK ; +; Address[7] ; FPGA_CLK ; -0.870 ; -1.645 ; Rise ; FPGA_CLK ; +; Data[*] ; FPGA_CLK ; -0.563 ; -1.295 ; Rise ; FPGA_CLK ; +; Data[0] ; FPGA_CLK ; -0.601 ; -1.334 ; Rise ; FPGA_CLK ; +; Data[1] ; FPGA_CLK ; -0.563 ; -1.295 ; Rise ; FPGA_CLK ; +; Data[2] ; FPGA_CLK ; -0.714 ; -1.504 ; Rise ; FPGA_CLK ; +; Data[3] ; FPGA_CLK ; -0.649 ; -1.388 ; Rise ; FPGA_CLK ; +; Data[4] ; FPGA_CLK ; -0.570 ; -1.309 ; Rise ; FPGA_CLK ; +; Data[5] ; FPGA_CLK ; -0.711 ; -1.464 ; Rise ; FPGA_CLK ; +; Data[6] ; FPGA_CLK ; -0.587 ; -1.326 ; Rise ; FPGA_CLK ; +; Data[7] ; FPGA_CLK ; -0.568 ; -1.307 ; Rise ; FPGA_CLK ; +; nBL[*] ; FPGA_CLK ; -0.871 ; -1.478 ; Rise ; FPGA_CLK ; +; nBL[0] ; FPGA_CLK ; -0.871 ; -1.478 ; Rise ; FPGA_CLK ; +; nBL[1] ; FPGA_CLK ; -1.018 ; -1.641 ; Rise ; FPGA_CLK ; +; nCE ; FPGA_CLK ; -0.541 ; -1.276 ; Rise ; FPGA_CLK ; +; nOE ; FPGA_CLK ; -0.630 ; -1.364 ; Rise ; FPGA_CLK ; +; nWE ; FPGA_CLK ; -0.624 ; -1.363 ; Rise ; FPGA_CLK ; +-------------+------------+--------+--------+------------+-----------------+ @@ -1673,16 +1692,16 @@ No paths to report. +------------+------------+-------+-------+------------+--------------------------------------------------+ ; Data Port ; Clock Port ; Rise ; Fall ; Clock Edge ; Clock Reference ; +------------+------------+-------+-------+------------+--------------------------------------------------+ -; Data[*] ; FPGA_CLK ; 5.018 ; 4.837 ; Rise ; FPGA_CLK ; -; Data[0] ; FPGA_CLK ; 3.351 ; 3.367 ; Rise ; FPGA_CLK ; -; Data[1] ; FPGA_CLK ; 3.472 ; 3.491 ; Rise ; FPGA_CLK ; -; Data[2] ; FPGA_CLK ; 3.527 ; 3.561 ; Rise ; FPGA_CLK ; -; Data[3] ; FPGA_CLK ; 3.493 ; 3.513 ; Rise ; FPGA_CLK ; -; Data[4] ; FPGA_CLK ; 3.971 ; 4.093 ; Rise ; FPGA_CLK ; -; Data[5] ; FPGA_CLK ; 3.867 ; 3.960 ; Rise ; FPGA_CLK ; -; Data[6] ; FPGA_CLK ; 5.018 ; 4.837 ; Rise ; FPGA_CLK ; -; Data[7] ; FPGA_CLK ; 3.616 ; 3.680 ; Rise ; FPGA_CLK ; -; FPGA_LED_1 ; FPGA_CLK ; 3.740 ; 3.668 ; Rise ; FPGA_CLK ; +; Data[*] ; FPGA_CLK ; 5.225 ; 5.054 ; Rise ; FPGA_CLK ; +; Data[0] ; FPGA_CLK ; 3.549 ; 3.595 ; Rise ; FPGA_CLK ; +; Data[1] ; FPGA_CLK ; 3.715 ; 3.787 ; Rise ; FPGA_CLK ; +; Data[2] ; FPGA_CLK ; 4.221 ; 4.382 ; Rise ; FPGA_CLK ; +; Data[3] ; FPGA_CLK ; 3.691 ; 3.763 ; Rise ; FPGA_CLK ; +; Data[4] ; FPGA_CLK ; 4.130 ; 4.272 ; Rise ; FPGA_CLK ; +; Data[5] ; FPGA_CLK ; 4.121 ; 4.243 ; Rise ; FPGA_CLK ; +; Data[6] ; FPGA_CLK ; 5.225 ; 5.054 ; Rise ; FPGA_CLK ; +; Data[7] ; FPGA_CLK ; 3.492 ; 3.548 ; Rise ; FPGA_CLK ; +; FPGA_LED_1 ; FPGA_CLK ; 2.972 ; 2.983 ; Rise ; FPGA_CLK ; ; FPGA_LED_2 ; FPGA_CLK ; 1.381 ; ; Rise ; inst|altpll_component|auto_generated|pll1|clk[0] ; ; FPGA_LED_2 ; FPGA_CLK ; ; 1.342 ; Fall ; inst|altpll_component|auto_generated|pll1|clk[0] ; ; FPGA_LED_3 ; FPGA_CLK ; 1.380 ; ; Rise ; inst|altpll_component|auto_generated|pll1|clk[1] ; @@ -1695,16 +1714,16 @@ No paths to report. +------------+------------+-------+-------+------------+--------------------------------------------------+ ; Data Port ; Clock Port ; Rise ; Fall ; Clock Edge ; Clock Reference ; +------------+------------+-------+-------+------------+--------------------------------------------------+ -; Data[*] ; FPGA_CLK ; 3.279 ; 3.292 ; Rise ; FPGA_CLK ; -; Data[0] ; FPGA_CLK ; 3.279 ; 3.292 ; Rise ; FPGA_CLK ; -; Data[1] ; FPGA_CLK ; 3.395 ; 3.411 ; Rise ; FPGA_CLK ; -; Data[2] ; FPGA_CLK ; 3.448 ; 3.479 ; Rise ; FPGA_CLK ; -; Data[3] ; FPGA_CLK ; 3.415 ; 3.432 ; Rise ; FPGA_CLK ; -; Data[4] ; FPGA_CLK ; 3.873 ; 3.989 ; Rise ; FPGA_CLK ; -; Data[5] ; FPGA_CLK ; 3.774 ; 3.862 ; Rise ; FPGA_CLK ; -; Data[6] ; FPGA_CLK ; 4.940 ; 4.754 ; Rise ; FPGA_CLK ; -; Data[7] ; FPGA_CLK ; 3.533 ; 3.593 ; Rise ; FPGA_CLK ; -; FPGA_LED_1 ; FPGA_CLK ; 3.649 ; 3.582 ; Rise ; FPGA_CLK ; +; Data[*] ; FPGA_CLK ; 3.414 ; 3.465 ; Rise ; FPGA_CLK ; +; Data[0] ; FPGA_CLK ; 3.469 ; 3.511 ; Rise ; FPGA_CLK ; +; Data[1] ; FPGA_CLK ; 3.629 ; 3.696 ; Rise ; FPGA_CLK ; +; Data[2] ; FPGA_CLK ; 4.114 ; 4.267 ; Rise ; FPGA_CLK ; +; Data[3] ; FPGA_CLK ; 3.606 ; 3.673 ; Rise ; FPGA_CLK ; +; Data[4] ; FPGA_CLK ; 4.026 ; 4.160 ; Rise ; FPGA_CLK ; +; Data[5] ; FPGA_CLK ; 4.018 ; 4.133 ; Rise ; FPGA_CLK ; +; Data[6] ; FPGA_CLK ; 5.139 ; 4.963 ; Rise ; FPGA_CLK ; +; Data[7] ; FPGA_CLK ; 3.414 ; 3.465 ; Rise ; FPGA_CLK ; +; FPGA_LED_1 ; FPGA_CLK ; 2.912 ; 2.925 ; Rise ; FPGA_CLK ; ; FPGA_LED_2 ; FPGA_CLK ; 1.151 ; ; Rise ; inst|altpll_component|auto_generated|pll1|clk[0] ; ; FPGA_LED_2 ; FPGA_CLK ; ; 1.111 ; Fall ; inst|altpll_component|auto_generated|pll1|clk[0] ; ; FPGA_LED_3 ; FPGA_CLK ; 1.150 ; ; Rise ; inst|altpll_component|auto_generated|pll1|clk[1] ; @@ -1717,15 +1736,15 @@ No paths to report. +-----------+------------+-------+-------+------------+-----------------+ ; Data Port ; Clock Port ; Rise ; Fall ; Clock Edge ; Clock Reference ; +-----------+------------+-------+-------+------------+-----------------+ -; Data[*] ; FPGA_CLK ; 4.112 ; 4.093 ; Rise ; FPGA_CLK ; -; Data[0] ; FPGA_CLK ; 4.112 ; 4.093 ; Rise ; FPGA_CLK ; -; Data[1] ; FPGA_CLK ; 4.253 ; 4.234 ; Rise ; FPGA_CLK ; -; Data[2] ; FPGA_CLK ; 4.221 ; 4.202 ; Rise ; FPGA_CLK ; -; Data[3] ; FPGA_CLK ; 4.244 ; 4.225 ; Rise ; FPGA_CLK ; -; Data[4] ; FPGA_CLK ; 4.524 ; 4.505 ; Rise ; FPGA_CLK ; -; Data[5] ; FPGA_CLK ; 4.531 ; 4.512 ; Rise ; FPGA_CLK ; -; Data[6] ; FPGA_CLK ; 4.376 ; 4.357 ; Rise ; FPGA_CLK ; -; Data[7] ; FPGA_CLK ; 4.420 ; 4.401 ; Rise ; FPGA_CLK ; +; Data[*] ; FPGA_CLK ; 4.088 ; 4.069 ; Rise ; FPGA_CLK ; +; Data[0] ; FPGA_CLK ; 4.492 ; 4.473 ; Rise ; FPGA_CLK ; +; Data[1] ; FPGA_CLK ; 4.742 ; 4.723 ; Rise ; FPGA_CLK ; +; Data[2] ; FPGA_CLK ; 4.982 ; 4.963 ; Rise ; FPGA_CLK ; +; Data[3] ; FPGA_CLK ; 4.301 ; 4.282 ; Rise ; FPGA_CLK ; +; Data[4] ; FPGA_CLK ; 4.088 ; 4.069 ; Rise ; FPGA_CLK ; +; Data[5] ; FPGA_CLK ; 4.114 ; 4.095 ; Rise ; FPGA_CLK ; +; Data[6] ; FPGA_CLK ; 4.856 ; 4.837 ; Rise ; FPGA_CLK ; +; Data[7] ; FPGA_CLK ; 4.430 ; 4.411 ; Rise ; FPGA_CLK ; +-----------+------------+-------+-------+------------+-----------------+ @@ -1734,15 +1753,15 @@ No paths to report. +-----------+------------+-------+-------+------------+-----------------+ ; Data Port ; Clock Port ; Rise ; Fall ; Clock Edge ; Clock Reference ; +-----------+------------+-------+-------+------------+-----------------+ -; Data[*] ; FPGA_CLK ; 3.172 ; 3.172 ; Rise ; FPGA_CLK ; -; Data[0] ; FPGA_CLK ; 3.172 ; 3.172 ; Rise ; FPGA_CLK ; -; Data[1] ; FPGA_CLK ; 3.307 ; 3.307 ; Rise ; FPGA_CLK ; -; Data[2] ; FPGA_CLK ; 3.277 ; 3.277 ; Rise ; FPGA_CLK ; -; Data[3] ; FPGA_CLK ; 3.299 ; 3.299 ; Rise ; FPGA_CLK ; -; Data[4] ; FPGA_CLK ; 3.567 ; 3.567 ; Rise ; FPGA_CLK ; -; Data[5] ; FPGA_CLK ; 3.574 ; 3.574 ; Rise ; FPGA_CLK ; -; Data[6] ; FPGA_CLK ; 3.424 ; 3.424 ; Rise ; FPGA_CLK ; -; Data[7] ; FPGA_CLK ; 3.467 ; 3.467 ; Rise ; FPGA_CLK ; +; Data[*] ; FPGA_CLK ; 3.150 ; 3.150 ; Rise ; FPGA_CLK ; +; Data[0] ; FPGA_CLK ; 3.538 ; 3.538 ; Rise ; FPGA_CLK ; +; Data[1] ; FPGA_CLK ; 3.779 ; 3.779 ; Rise ; FPGA_CLK ; +; Data[2] ; FPGA_CLK ; 4.009 ; 4.009 ; Rise ; FPGA_CLK ; +; Data[3] ; FPGA_CLK ; 3.354 ; 3.354 ; Rise ; FPGA_CLK ; +; Data[4] ; FPGA_CLK ; 3.150 ; 3.150 ; Rise ; FPGA_CLK ; +; Data[5] ; FPGA_CLK ; 3.175 ; 3.175 ; Rise ; FPGA_CLK ; +; Data[6] ; FPGA_CLK ; 3.886 ; 3.886 ; Rise ; FPGA_CLK ; +; Data[7] ; FPGA_CLK ; 3.478 ; 3.478 ; Rise ; FPGA_CLK ; +-----------+------------+-------+-------+------------+-----------------+ @@ -1751,15 +1770,15 @@ No paths to report. +-----------+------------+-----------+-----------+------------+-----------------+ ; Data Port ; Clock Port ; 0 to Hi-Z ; 1 to Hi-Z ; Clock Edge ; Clock Reference ; +-----------+------------+-----------+-----------+------------+-----------------+ -; Data[*] ; FPGA_CLK ; 4.168 ; 4.168 ; Rise ; FPGA_CLK ; -; Data[0] ; FPGA_CLK ; 4.168 ; 4.168 ; Rise ; FPGA_CLK ; -; Data[1] ; FPGA_CLK ; 4.326 ; 4.326 ; Rise ; FPGA_CLK ; -; Data[2] ; FPGA_CLK ; 4.298 ; 4.298 ; Rise ; FPGA_CLK ; -; Data[3] ; FPGA_CLK ; 4.320 ; 4.320 ; Rise ; FPGA_CLK ; -; Data[4] ; FPGA_CLK ; 4.666 ; 4.666 ; Rise ; FPGA_CLK ; -; Data[5] ; FPGA_CLK ; 4.664 ; 4.664 ; Rise ; FPGA_CLK ; -; Data[6] ; FPGA_CLK ; 4.513 ; 4.513 ; Rise ; FPGA_CLK ; -; Data[7] ; FPGA_CLK ; 4.530 ; 4.530 ; Rise ; FPGA_CLK ; +; Data[*] ; FPGA_CLK ; 4.165 ; 4.165 ; Rise ; FPGA_CLK ; +; Data[0] ; FPGA_CLK ; 4.627 ; 4.627 ; Rise ; FPGA_CLK ; +; Data[1] ; FPGA_CLK ; 4.891 ; 4.891 ; Rise ; FPGA_CLK ; +; Data[2] ; FPGA_CLK ; 5.139 ; 5.139 ; Rise ; FPGA_CLK ; +; Data[3] ; FPGA_CLK ; 4.387 ; 4.387 ; Rise ; FPGA_CLK ; +; Data[4] ; FPGA_CLK ; 4.165 ; 4.165 ; Rise ; FPGA_CLK ; +; Data[5] ; FPGA_CLK ; 4.191 ; 4.191 ; Rise ; FPGA_CLK ; +; Data[6] ; FPGA_CLK ; 5.030 ; 5.030 ; Rise ; FPGA_CLK ; +; Data[7] ; FPGA_CLK ; 4.555 ; 4.555 ; Rise ; FPGA_CLK ; +-----------+------------+-----------+-----------+------------+-----------------+ @@ -1768,15 +1787,15 @@ No paths to report. +-----------+------------+-----------+-----------+------------+-----------------+ ; Data Port ; Clock Port ; 0 to Hi-Z ; 1 to Hi-Z ; Clock Edge ; Clock Reference ; +-----------+------------+-----------+-----------+------------+-----------------+ -; Data[*] ; FPGA_CLK ; 3.226 ; 3.358 ; Rise ; FPGA_CLK ; -; Data[0] ; FPGA_CLK ; 3.226 ; 3.358 ; Rise ; FPGA_CLK ; -; Data[1] ; FPGA_CLK ; 3.378 ; 3.510 ; Rise ; FPGA_CLK ; -; Data[2] ; FPGA_CLK ; 3.351 ; 3.483 ; Rise ; FPGA_CLK ; -; Data[3] ; FPGA_CLK ; 3.372 ; 3.504 ; Rise ; FPGA_CLK ; -; Data[4] ; FPGA_CLK ; 3.703 ; 3.835 ; Rise ; FPGA_CLK ; -; Data[5] ; FPGA_CLK ; 3.702 ; 3.834 ; Rise ; FPGA_CLK ; -; Data[6] ; FPGA_CLK ; 3.556 ; 3.688 ; Rise ; FPGA_CLK ; -; Data[7] ; FPGA_CLK ; 3.573 ; 3.705 ; Rise ; FPGA_CLK ; +; Data[*] ; FPGA_CLK ; 3.223 ; 3.355 ; Rise ; FPGA_CLK ; +; Data[0] ; FPGA_CLK ; 3.668 ; 3.800 ; Rise ; FPGA_CLK ; +; Data[1] ; FPGA_CLK ; 3.922 ; 4.054 ; Rise ; FPGA_CLK ; +; Data[2] ; FPGA_CLK ; 4.160 ; 4.292 ; Rise ; FPGA_CLK ; +; Data[3] ; FPGA_CLK ; 3.436 ; 3.568 ; Rise ; FPGA_CLK ; +; Data[4] ; FPGA_CLK ; 3.223 ; 3.355 ; Rise ; FPGA_CLK ; +; Data[5] ; FPGA_CLK ; 3.248 ; 3.380 ; Rise ; FPGA_CLK ; +; Data[6] ; FPGA_CLK ; 4.053 ; 4.185 ; Rise ; FPGA_CLK ; +; Data[7] ; FPGA_CLK ; 3.597 ; 3.729 ; Rise ; FPGA_CLK ; +-----------+------------+-----------+-----------+------------+-----------------+ @@ -1791,40 +1810,43 @@ No synchronizer chains to report. +------------------+--------+-------+----------+---------+---------------------+ ; Clock ; Setup ; Hold ; Recovery ; Removal ; Minimum Pulse Width ; +------------------+--------+-------+----------+---------+---------------------+ -; Worst-case Slack ; 33.637 ; 0.186 ; N/A ; N/A ; 19.206 ; -; FPGA_CLK ; 33.637 ; 0.186 ; N/A ; N/A ; 19.206 ; +; Worst-case Slack ; 20.350 ; 0.186 ; N/A ; N/A ; 19.267 ; +; FPGA_CLK ; 20.350 ; 0.186 ; N/A ; N/A ; 19.267 ; ; Design-wide TNS ; 0.0 ; 0.0 ; 0.0 ; 0.0 ; 0.0 ; ; FPGA_CLK ; 0.000 ; 0.000 ; N/A ; N/A ; 0.000 ; +------------------+--------+-------+----------+---------+---------------------+ -+-------------------------------------------------------------------------+ -; Setup Times ; -+-------------+------------+-------+-------+------------+-----------------+ -; Data Port ; Clock Port ; Rise ; Fall ; Clock Edge ; Clock Reference ; -+-------------+------------+-------+-------+------------+-----------------+ -; Address[*] ; FPGA_CLK ; 4.276 ; 4.467 ; Rise ; FPGA_CLK ; -; Address[0] ; FPGA_CLK ; 3.265 ; 3.408 ; Rise ; FPGA_CLK ; -; Address[1] ; FPGA_CLK ; 3.595 ; 3.838 ; Rise ; FPGA_CLK ; -; Address[2] ; FPGA_CLK ; 3.758 ; 4.009 ; Rise ; FPGA_CLK ; -; Address[3] ; FPGA_CLK ; 3.867 ; 4.116 ; Rise ; FPGA_CLK ; -; Address[4] ; FPGA_CLK ; 4.197 ; 4.467 ; Rise ; FPGA_CLK ; -; Address[5] ; FPGA_CLK ; 4.276 ; 4.446 ; Rise ; FPGA_CLK ; -; Address[6] ; FPGA_CLK ; 3.546 ; 3.843 ; Rise ; FPGA_CLK ; -; Address[7] ; FPGA_CLK ; 3.041 ; 3.255 ; Rise ; FPGA_CLK ; -; Data[*] ; FPGA_CLK ; 2.611 ; 2.949 ; Rise ; FPGA_CLK ; -; Data[0] ; FPGA_CLK ; 2.367 ; 2.631 ; Rise ; FPGA_CLK ; -; Data[1] ; FPGA_CLK ; 2.611 ; 2.949 ; Rise ; FPGA_CLK ; -; Data[2] ; FPGA_CLK ; 2.576 ; 2.925 ; Rise ; FPGA_CLK ; -; Data[3] ; FPGA_CLK ; 2.580 ; 2.915 ; Rise ; FPGA_CLK ; -; Data[4] ; FPGA_CLK ; 2.384 ; 2.721 ; Rise ; FPGA_CLK ; -; Data[5] ; FPGA_CLK ; 2.368 ; 2.710 ; Rise ; FPGA_CLK ; -; Data[6] ; FPGA_CLK ; 2.314 ; 2.657 ; Rise ; FPGA_CLK ; -; Data[7] ; FPGA_CLK ; 2.351 ; 2.677 ; Rise ; FPGA_CLK ; -; nCE ; FPGA_CLK ; 6.739 ; 6.730 ; Rise ; FPGA_CLK ; -; nOE ; FPGA_CLK ; 5.549 ; 5.716 ; Rise ; FPGA_CLK ; -; nWE ; FPGA_CLK ; 5.354 ; 5.775 ; Rise ; FPGA_CLK ; -+-------------+------------+-------+-------+------------+-----------------+ ++---------------------------------------------------------------------------+ +; Setup Times ; ++-------------+------------+--------+--------+------------+-----------------+ +; Data Port ; Clock Port ; Rise ; Fall ; Clock Edge ; Clock Reference ; ++-------------+------------+--------+--------+------------+-----------------+ +; Address[*] ; FPGA_CLK ; 4.255 ; 4.279 ; Rise ; FPGA_CLK ; +; Address[0] ; FPGA_CLK ; 2.200 ; 2.579 ; Rise ; FPGA_CLK ; +; Address[1] ; FPGA_CLK ; 4.255 ; 4.279 ; Rise ; FPGA_CLK ; +; Address[2] ; FPGA_CLK ; 2.916 ; 3.257 ; Rise ; FPGA_CLK ; +; Address[3] ; FPGA_CLK ; 2.940 ; 3.235 ; Rise ; FPGA_CLK ; +; Address[4] ; FPGA_CLK ; 2.451 ; 2.736 ; Rise ; FPGA_CLK ; +; Address[5] ; FPGA_CLK ; 2.757 ; 3.152 ; Rise ; FPGA_CLK ; +; Address[6] ; FPGA_CLK ; 3.819 ; 4.055 ; Rise ; FPGA_CLK ; +; Address[7] ; FPGA_CLK ; 2.399 ; 2.705 ; Rise ; FPGA_CLK ; +; Data[*] ; FPGA_CLK ; 10.057 ; 9.754 ; Rise ; FPGA_CLK ; +; Data[0] ; FPGA_CLK ; 7.039 ; 6.985 ; Rise ; FPGA_CLK ; +; Data[1] ; FPGA_CLK ; 7.351 ; 7.436 ; Rise ; FPGA_CLK ; +; Data[2] ; FPGA_CLK ; 10.057 ; 9.754 ; Rise ; FPGA_CLK ; +; Data[3] ; FPGA_CLK ; 9.945 ; 9.698 ; Rise ; FPGA_CLK ; +; Data[4] ; FPGA_CLK ; 8.109 ; 7.930 ; Rise ; FPGA_CLK ; +; Data[5] ; FPGA_CLK ; 6.132 ; 6.329 ; Rise ; FPGA_CLK ; +; Data[6] ; FPGA_CLK ; 8.876 ; 8.811 ; Rise ; FPGA_CLK ; +; Data[7] ; FPGA_CLK ; 9.270 ; 9.219 ; Rise ; FPGA_CLK ; +; nBL[*] ; FPGA_CLK ; 2.537 ; 2.777 ; Rise ; FPGA_CLK ; +; nBL[0] ; FPGA_CLK ; 2.168 ; 2.442 ; Rise ; FPGA_CLK ; +; nBL[1] ; FPGA_CLK ; 2.537 ; 2.777 ; Rise ; FPGA_CLK ; +; nCE ; FPGA_CLK ; 6.683 ; 7.591 ; Rise ; FPGA_CLK ; +; nOE ; FPGA_CLK ; 3.302 ; 3.603 ; Rise ; FPGA_CLK ; +; nWE ; FPGA_CLK ; 14.913 ; 15.921 ; Rise ; FPGA_CLK ; ++-------------+------------+--------+--------+------------+-----------------+ +---------------------------------------------------------------------------+ @@ -1832,50 +1854,53 @@ No synchronizer chains to report. +-------------+------------+--------+--------+------------+-----------------+ ; Data Port ; Clock Port ; Rise ; Fall ; Clock Edge ; Clock Reference ; +-------------+------------+--------+--------+------------+-----------------+ -; Address[*] ; FPGA_CLK ; -0.844 ; -1.640 ; Rise ; FPGA_CLK ; -; Address[0] ; FPGA_CLK ; -0.898 ; -1.692 ; Rise ; FPGA_CLK ; -; Address[1] ; FPGA_CLK ; -1.064 ; -1.890 ; Rise ; FPGA_CLK ; -; Address[2] ; FPGA_CLK ; -1.138 ; -1.979 ; Rise ; FPGA_CLK ; -; Address[3] ; FPGA_CLK ; -1.179 ; -2.027 ; Rise ; FPGA_CLK ; -; Address[4] ; FPGA_CLK ; -1.018 ; -1.859 ; Rise ; FPGA_CLK ; -; Address[5] ; FPGA_CLK ; -1.118 ; -1.954 ; Rise ; FPGA_CLK ; -; Address[6] ; FPGA_CLK ; -1.040 ; -1.852 ; Rise ; FPGA_CLK ; -; Address[7] ; FPGA_CLK ; -0.844 ; -1.640 ; Rise ; FPGA_CLK ; -; Data[*] ; FPGA_CLK ; -0.548 ; -1.193 ; Rise ; FPGA_CLK ; -; Data[0] ; FPGA_CLK ; -0.548 ; -1.193 ; Rise ; FPGA_CLK ; -; Data[1] ; FPGA_CLK ; -0.644 ; -1.385 ; Rise ; FPGA_CLK ; -; Data[2] ; FPGA_CLK ; -0.761 ; -1.594 ; Rise ; FPGA_CLK ; -; Data[3] ; FPGA_CLK ; -0.751 ; -1.571 ; Rise ; FPGA_CLK ; -; Data[4] ; FPGA_CLK ; -0.614 ; -1.340 ; Rise ; FPGA_CLK ; -; Data[5] ; FPGA_CLK ; -0.652 ; -1.382 ; Rise ; FPGA_CLK ; -; Data[6] ; FPGA_CLK ; -0.620 ; -1.352 ; Rise ; FPGA_CLK ; -; Data[7] ; FPGA_CLK ; -0.751 ; -1.567 ; Rise ; FPGA_CLK ; -; nCE ; FPGA_CLK ; -0.933 ; -1.757 ; Rise ; FPGA_CLK ; -; nOE ; FPGA_CLK ; -0.970 ; -1.777 ; Rise ; FPGA_CLK ; -; nWE ; FPGA_CLK ; -0.868 ; -1.640 ; Rise ; FPGA_CLK ; +; Address[*] ; FPGA_CLK ; -0.819 ; -1.626 ; Rise ; FPGA_CLK ; +; Address[0] ; FPGA_CLK ; -0.819 ; -1.626 ; Rise ; FPGA_CLK ; +; Address[1] ; FPGA_CLK ; -1.593 ; -2.478 ; Rise ; FPGA_CLK ; +; Address[2] ; FPGA_CLK ; -1.130 ; -1.949 ; Rise ; FPGA_CLK ; +; Address[3] ; FPGA_CLK ; -1.115 ; -1.935 ; Rise ; FPGA_CLK ; +; Address[4] ; FPGA_CLK ; -0.897 ; -1.694 ; Rise ; FPGA_CLK ; +; Address[5] ; FPGA_CLK ; -1.072 ; -1.904 ; Rise ; FPGA_CLK ; +; Address[6] ; FPGA_CLK ; -1.483 ; -2.343 ; Rise ; FPGA_CLK ; +; Address[7] ; FPGA_CLK ; -0.870 ; -1.645 ; Rise ; FPGA_CLK ; +; Data[*] ; FPGA_CLK ; -0.563 ; -1.144 ; Rise ; FPGA_CLK ; +; Data[0] ; FPGA_CLK ; -0.601 ; -1.226 ; Rise ; FPGA_CLK ; +; Data[1] ; FPGA_CLK ; -0.563 ; -1.144 ; Rise ; FPGA_CLK ; +; Data[2] ; FPGA_CLK ; -0.714 ; -1.504 ; Rise ; FPGA_CLK ; +; Data[3] ; FPGA_CLK ; -0.649 ; -1.388 ; Rise ; FPGA_CLK ; +; Data[4] ; FPGA_CLK ; -0.570 ; -1.176 ; Rise ; FPGA_CLK ; +; Data[5] ; FPGA_CLK ; -0.711 ; -1.440 ; Rise ; FPGA_CLK ; +; Data[6] ; FPGA_CLK ; -0.587 ; -1.204 ; Rise ; FPGA_CLK ; +; Data[7] ; FPGA_CLK ; -0.568 ; -1.184 ; Rise ; FPGA_CLK ; +; nBL[*] ; FPGA_CLK ; -0.871 ; -1.478 ; Rise ; FPGA_CLK ; +; nBL[0] ; FPGA_CLK ; -0.871 ; -1.478 ; Rise ; FPGA_CLK ; +; nBL[1] ; FPGA_CLK ; -1.018 ; -1.641 ; Rise ; FPGA_CLK ; +; nCE ; FPGA_CLK ; -0.541 ; -1.154 ; Rise ; FPGA_CLK ; +; nOE ; FPGA_CLK ; -0.630 ; -1.364 ; Rise ; FPGA_CLK ; +; nWE ; FPGA_CLK ; -0.624 ; -1.363 ; Rise ; FPGA_CLK ; +-------------+------------+--------+--------+------------+-----------------+ -+---------------------------------------------------------------------------------------------------------+ -; Clock to Output Times ; -+------------+------------+-------+-------+------------+--------------------------------------------------+ -; Data Port ; Clock Port ; Rise ; Fall ; Clock Edge ; Clock Reference ; -+------------+------------+-------+-------+------------+--------------------------------------------------+ -; Data[*] ; FPGA_CLK ; 9.467 ; 8.950 ; Rise ; FPGA_CLK ; -; Data[0] ; FPGA_CLK ; 7.009 ; 6.766 ; Rise ; FPGA_CLK ; -; Data[1] ; FPGA_CLK ; 7.283 ; 6.997 ; Rise ; FPGA_CLK ; -; Data[2] ; FPGA_CLK ; 7.398 ; 7.139 ; Rise ; FPGA_CLK ; -; Data[3] ; FPGA_CLK ; 7.316 ; 7.015 ; Rise ; FPGA_CLK ; -; Data[4] ; FPGA_CLK ; 8.372 ; 8.094 ; Rise ; FPGA_CLK ; -; Data[5] ; FPGA_CLK ; 8.254 ; 7.936 ; Rise ; FPGA_CLK ; -; Data[6] ; FPGA_CLK ; 9.467 ; 8.950 ; Rise ; FPGA_CLK ; -; Data[7] ; FPGA_CLK ; 7.543 ; 7.354 ; Rise ; FPGA_CLK ; -; FPGA_LED_1 ; FPGA_CLK ; 7.494 ; 7.753 ; Rise ; FPGA_CLK ; -; FPGA_LED_2 ; FPGA_CLK ; 2.836 ; ; Rise ; inst|altpll_component|auto_generated|pll1|clk[0] ; -; FPGA_LED_2 ; FPGA_CLK ; ; 2.656 ; Fall ; inst|altpll_component|auto_generated|pll1|clk[0] ; -; FPGA_LED_3 ; FPGA_CLK ; 2.836 ; ; Rise ; inst|altpll_component|auto_generated|pll1|clk[1] ; -; FPGA_LED_3 ; FPGA_CLK ; ; 2.656 ; Fall ; inst|altpll_component|auto_generated|pll1|clk[1] ; -+------------+------------+-------+-------+------------+--------------------------------------------------+ ++----------------------------------------------------------------------------------------------------------+ +; Clock to Output Times ; ++------------+------------+--------+-------+------------+--------------------------------------------------+ +; Data Port ; Clock Port ; Rise ; Fall ; Clock Edge ; Clock Reference ; ++------------+------------+--------+-------+------------+--------------------------------------------------+ +; Data[*] ; FPGA_CLK ; 10.042 ; 9.410 ; Rise ; FPGA_CLK ; +; Data[0] ; FPGA_CLK ; 7.478 ; 7.205 ; Rise ; FPGA_CLK ; +; Data[1] ; FPGA_CLK ; 7.814 ; 7.579 ; Rise ; FPGA_CLK ; +; Data[2] ; FPGA_CLK ; 9.038 ; 8.648 ; Rise ; FPGA_CLK ; +; Data[3] ; FPGA_CLK ; 7.799 ; 7.547 ; Rise ; FPGA_CLK ; +; Data[4] ; FPGA_CLK ; 8.850 ; 8.486 ; Rise ; FPGA_CLK ; +; Data[5] ; FPGA_CLK ; 8.771 ; 8.404 ; Rise ; FPGA_CLK ; +; Data[6] ; FPGA_CLK ; 10.042 ; 9.410 ; Rise ; FPGA_CLK ; +; Data[7] ; FPGA_CLK ; 7.263 ; 7.066 ; Rise ; FPGA_CLK ; +; FPGA_LED_1 ; FPGA_CLK ; 5.985 ; 6.095 ; Rise ; FPGA_CLK ; +; FPGA_LED_2 ; FPGA_CLK ; 2.836 ; ; Rise ; inst|altpll_component|auto_generated|pll1|clk[0] ; +; FPGA_LED_2 ; FPGA_CLK ; ; 2.656 ; Fall ; inst|altpll_component|auto_generated|pll1|clk[0] ; +; FPGA_LED_3 ; FPGA_CLK ; 2.836 ; ; Rise ; inst|altpll_component|auto_generated|pll1|clk[1] ; +; FPGA_LED_3 ; FPGA_CLK ; ; 2.656 ; Fall ; inst|altpll_component|auto_generated|pll1|clk[1] ; ++------------+------------+--------+-------+------------+--------------------------------------------------+ +---------------------------------------------------------------------------------------------------------+ @@ -1883,16 +1908,16 @@ No synchronizer chains to report. +------------+------------+-------+-------+------------+--------------------------------------------------+ ; Data Port ; Clock Port ; Rise ; Fall ; Clock Edge ; Clock Reference ; +------------+------------+-------+-------+------------+--------------------------------------------------+ -; Data[*] ; FPGA_CLK ; 3.279 ; 3.292 ; Rise ; FPGA_CLK ; -; Data[0] ; FPGA_CLK ; 3.279 ; 3.292 ; Rise ; FPGA_CLK ; -; Data[1] ; FPGA_CLK ; 3.395 ; 3.411 ; Rise ; FPGA_CLK ; -; Data[2] ; FPGA_CLK ; 3.448 ; 3.479 ; Rise ; FPGA_CLK ; -; Data[3] ; FPGA_CLK ; 3.415 ; 3.432 ; Rise ; FPGA_CLK ; -; Data[4] ; FPGA_CLK ; 3.873 ; 3.989 ; Rise ; FPGA_CLK ; -; Data[5] ; FPGA_CLK ; 3.774 ; 3.862 ; Rise ; FPGA_CLK ; -; Data[6] ; FPGA_CLK ; 4.940 ; 4.754 ; Rise ; FPGA_CLK ; -; Data[7] ; FPGA_CLK ; 3.533 ; 3.593 ; Rise ; FPGA_CLK ; -; FPGA_LED_1 ; FPGA_CLK ; 3.649 ; 3.582 ; Rise ; FPGA_CLK ; +; Data[*] ; FPGA_CLK ; 3.414 ; 3.465 ; Rise ; FPGA_CLK ; +; Data[0] ; FPGA_CLK ; 3.469 ; 3.511 ; Rise ; FPGA_CLK ; +; Data[1] ; FPGA_CLK ; 3.629 ; 3.696 ; Rise ; FPGA_CLK ; +; Data[2] ; FPGA_CLK ; 4.114 ; 4.267 ; Rise ; FPGA_CLK ; +; Data[3] ; FPGA_CLK ; 3.606 ; 3.673 ; Rise ; FPGA_CLK ; +; Data[4] ; FPGA_CLK ; 4.026 ; 4.160 ; Rise ; FPGA_CLK ; +; Data[5] ; FPGA_CLK ; 4.018 ; 4.133 ; Rise ; FPGA_CLK ; +; Data[6] ; FPGA_CLK ; 5.139 ; 4.963 ; Rise ; FPGA_CLK ; +; Data[7] ; FPGA_CLK ; 3.414 ; 3.465 ; Rise ; FPGA_CLK ; +; FPGA_LED_1 ; FPGA_CLK ; 2.912 ; 2.925 ; Rise ; FPGA_CLK ; ; FPGA_LED_2 ; FPGA_CLK ; 1.151 ; ; Rise ; inst|altpll_component|auto_generated|pll1|clk[0] ; ; FPGA_LED_2 ; FPGA_CLK ; ; 1.111 ; Fall ; inst|altpll_component|auto_generated|pll1|clk[0] ; ; FPGA_LED_3 ; FPGA_CLK ; 1.150 ; ; Rise ; inst|altpll_component|auto_generated|pll1|clk[1] ; @@ -1939,12 +1964,14 @@ No synchronizer chains to report. ; nWE ; 3.3-V LVTTL ; 2640 ps ; 2640 ps ; ; Address[0] ; 3.3-V LVTTL ; 2640 ps ; 2640 ps ; ; Address[1] ; 3.3-V LVTTL ; 2640 ps ; 2640 ps ; -; Address[2] ; 3.3-V LVTTL ; 2640 ps ; 2640 ps ; -; Address[3] ; 3.3-V LVTTL ; 2640 ps ; 2640 ps ; -; Address[4] ; 3.3-V LVTTL ; 2640 ps ; 2640 ps ; ; Address[5] ; 3.3-V LVTTL ; 2640 ps ; 2640 ps ; -; Address[6] ; 3.3-V LVTTL ; 2640 ps ; 2640 ps ; +; Address[4] ; 3.3-V LVTTL ; 2640 ps ; 2640 ps ; +; nBL[1] ; 2.5 V ; 2000 ps ; 2000 ps ; +; nBL[0] ; 2.5 V ; 2000 ps ; 2000 ps ; +; Address[3] ; 3.3-V LVTTL ; 2640 ps ; 2640 ps ; +; Address[2] ; 3.3-V LVTTL ; 2640 ps ; 2640 ps ; ; Address[7] ; 3.3-V LVTTL ; 2640 ps ; 2640 ps ; +; Address[6] ; 3.3-V LVTTL ; 2640 ps ; 2640 ps ; ; nOE ; 3.3-V LVTTL ; 2640 ps ; 2640 ps ; ; ~ALTERA_ASDO_DATA1~ ; 3.3-V LVTTL ; 2640 ps ; 2640 ps ; ; ~ALTERA_FLASH_nCE_nCSO~ ; 3.3-V LVTTL ; 2640 ps ; 2640 ps ; @@ -1999,7 +2026,7 @@ No synchronizer chains to report. +------------+----------+----------+----------+----------+----------+ ; From Clock ; To Clock ; RR Paths ; FR Paths ; RF Paths ; FF Paths ; +------------+----------+----------+----------+----------+----------+ -; FPGA_CLK ; FPGA_CLK ; 1342 ; 0 ; 0 ; 0 ; +; FPGA_CLK ; FPGA_CLK ; 116194 ; 0 ; 0 ; 0 ; +------------+----------+----------+----------+----------+----------+ Entries labeled "false path" only account for clock-to-clock false paths and not path-based false paths. As a result, actual path counts may be lower than reported. @@ -2009,7 +2036,7 @@ Entries labeled "false path" only account for clock-to-clock false paths and not +------------+----------+----------+----------+----------+----------+ ; From Clock ; To Clock ; RR Paths ; FR Paths ; RF Paths ; FF Paths ; +------------+----------+----------+----------+----------+----------+ -; FPGA_CLK ; FPGA_CLK ; 1342 ; 0 ; 0 ; 0 ; +; FPGA_CLK ; FPGA_CLK ; 116194 ; 0 ; 0 ; 0 ; +------------+----------+----------+----------+----------+----------+ Entries labeled "false path" only account for clock-to-clock false paths and not path-based false paths. As a result, actual path counts may be lower than reported. @@ -2026,18 +2053,18 @@ No dedicated SERDES Transmitter circuitry present in device or used in design No non-DPA dedicated SERDES Receiver circuitry present in device or used in design -+------------------------------------------------+ -; Unconstrained Paths ; -+---------------------------------+-------+------+ -; Property ; Setup ; Hold ; -+---------------------------------+-------+------+ -; Illegal Clocks ; 0 ; 0 ; -; Unconstrained Clocks ; 0 ; 0 ; -; Unconstrained Input Ports ; 19 ; 19 ; -; Unconstrained Input Port Paths ; 87 ; 87 ; -; Unconstrained Output Ports ; 11 ; 11 ; -; Unconstrained Output Port Paths ; 19 ; 19 ; -+---------------------------------+-------+------+ ++-------------------------------------------------+ +; Unconstrained Paths ; ++---------------------------------+-------+-------+ +; Property ; Setup ; Hold ; ++---------------------------------+-------+-------+ +; Illegal Clocks ; 0 ; 0 ; +; Unconstrained Clocks ; 0 ; 0 ; +; Unconstrained Input Ports ; 21 ; 21 ; +; Unconstrained Input Port Paths ; 16688 ; 16688 ; +; Unconstrained Output Ports ; 11 ; 11 ; +; Unconstrained Output Port Paths ; 19 ; 19 ; ++---------------------------------+-------+-------+ +------------------------------------+ @@ -2046,7 +2073,7 @@ No non-DPA dedicated SERDES Receiver circuitry present in device or used in desi Info: ******************************************************************* Info: Running Quartus II 64-Bit TimeQuest Timing Analyzer Info: Version 13.1.0 Build 162 10/23/2013 SJ Full Version - Info: Processing started: Tue Mar 12 17:46:53 2024 + Info: Processing started: Thu Mar 14 11:15:39 2024 Info: Command: quartus_sta MainController -c MainController Info: qsta_default_script.tcl version: #1 Info (11104): Parallel Compilation has detected 8 hyper-threaded processors. However, the extra hyper-threaded processors will not be used by default. Parallel Compilation will use 4 of the 4 physical processors detected instead. @@ -2065,60 +2092,60 @@ Info (332143): No user constrained clock uncertainty found in the design. Callin Info (332123): Deriving Clock Uncertainty. Please refer to report_sdc in TimeQuest to see clock uncertainties. Info: Found TIMEQUEST_REPORT_SCRIPT_INCLUDE_DEFAULT_ANALYSIS = ON Info: Analyzing Slow 1200mV 85C Model -Info (332146): Worst-case setup slack is 33.637 +Info (332146): Worst-case setup slack is 20.350 Info (332119): Slack End Point TNS Clock Info (332119): ========= =================== ===================== - Info (332119): 33.637 0.000 FPGA_CLK + Info (332119): 20.350 0.000 FPGA_CLK Info (332146): Worst-case hold slack is 0.454 Info (332119): Slack End Point TNS Clock Info (332119): ========= =================== ===================== Info (332119): 0.454 0.000 FPGA_CLK Info (332140): No Recovery paths to report Info (332140): No Removal paths to report -Info (332146): Worst-case minimum pulse width slack is 19.618 +Info (332146): Worst-case minimum pulse width slack is 19.752 Info (332119): Slack End Point TNS Clock Info (332119): ========= =================== ===================== - Info (332119): 19.618 0.000 FPGA_CLK + Info (332119): 19.752 0.000 FPGA_CLK Info: Analyzing Slow 1200mV 0C Model Info (334003): Started post-fitting delay annotation Info (334004): Delay annotation completed successfully Info (332123): Deriving Clock Uncertainty. Please refer to report_sdc in TimeQuest to see clock uncertainties. -Info (332146): Worst-case setup slack is 34.094 +Info (332146): Worst-case setup slack is 21.115 Info (332119): Slack End Point TNS Clock Info (332119): ========= =================== ===================== - Info (332119): 34.094 0.000 FPGA_CLK + Info (332119): 21.115 0.000 FPGA_CLK Info (332146): Worst-case hold slack is 0.402 Info (332119): Slack End Point TNS Clock Info (332119): ========= =================== ===================== Info (332119): 0.402 0.000 FPGA_CLK Info (332140): No Recovery paths to report Info (332140): No Removal paths to report -Info (332146): Worst-case minimum pulse width slack is 19.600 +Info (332146): Worst-case minimum pulse width slack is 19.746 Info (332119): Slack End Point TNS Clock Info (332119): ========= =================== ===================== - Info (332119): 19.600 0.000 FPGA_CLK + Info (332119): 19.746 0.000 FPGA_CLK Info: Analyzing Fast 1200mV 0C Model Info (332123): Deriving Clock Uncertainty. Please refer to report_sdc in TimeQuest to see clock uncertainties. -Info (332146): Worst-case setup slack is 37.258 +Info (332146): Worst-case setup slack is 30.708 Info (332119): Slack End Point TNS Clock Info (332119): ========= =================== ===================== - Info (332119): 37.258 0.000 FPGA_CLK + Info (332119): 30.708 0.000 FPGA_CLK Info (332146): Worst-case hold slack is 0.186 Info (332119): Slack End Point TNS Clock Info (332119): ========= =================== ===================== Info (332119): 0.186 0.000 FPGA_CLK Info (332140): No Recovery paths to report Info (332140): No Removal paths to report -Info (332146): Worst-case minimum pulse width slack is 19.206 +Info (332146): Worst-case minimum pulse width slack is 19.267 Info (332119): Slack End Point TNS Clock Info (332119): ========= =================== ===================== - Info (332119): 19.206 0.000 FPGA_CLK + Info (332119): 19.267 0.000 FPGA_CLK Info (332102): Design is not fully constrained for setup requirements Info (332102): Design is not fully constrained for hold requirements Info: Quartus II 64-Bit TimeQuest Timing Analyzer was successful. 0 errors, 1 warning - Info: Peak virtual memory: 4700 megabytes - Info: Processing ended: Tue Mar 12 17:46:55 2024 - Info: Elapsed time: 00:00:02 - Info: Total CPU time (on all processors): 00:00:02 + Info: Peak virtual memory: 4845 megabytes + Info: Processing ended: Thu Mar 14 11:15:44 2024 + Info: Elapsed time: 00:00:05 + Info: Total CPU time (on all processors): 00:00:08 diff --git a/MainController/output_files/MainController.sta.summary b/MainController/output_files/MainController.sta.summary index 2226ab8..baf80d2 100644 --- a/MainController/output_files/MainController.sta.summary +++ b/MainController/output_files/MainController.sta.summary @@ -3,7 +3,7 @@ TimeQuest Timing Analyzer Summary ------------------------------------------------------------ Type : Slow 1200mV 85C Model Setup 'FPGA_CLK' -Slack : 33.637 +Slack : 20.350 TNS : 0.000 Type : Slow 1200mV 85C Model Hold 'FPGA_CLK' @@ -11,11 +11,11 @@ Slack : 0.454 TNS : 0.000 Type : Slow 1200mV 85C Model Minimum Pulse Width 'FPGA_CLK' -Slack : 19.618 +Slack : 19.752 TNS : 0.000 Type : Slow 1200mV 0C Model Setup 'FPGA_CLK' -Slack : 34.094 +Slack : 21.115 TNS : 0.000 Type : Slow 1200mV 0C Model Hold 'FPGA_CLK' @@ -23,11 +23,11 @@ Slack : 0.402 TNS : 0.000 Type : Slow 1200mV 0C Model Minimum Pulse Width 'FPGA_CLK' -Slack : 19.600 +Slack : 19.746 TNS : 0.000 Type : Fast 1200mV 0C Model Setup 'FPGA_CLK' -Slack : 37.258 +Slack : 30.708 TNS : 0.000 Type : Fast 1200mV 0C Model Hold 'FPGA_CLK' @@ -35,7 +35,7 @@ Slack : 0.186 TNS : 0.000 Type : Fast 1200mV 0C Model Minimum Pulse Width 'FPGA_CLK' -Slack : 19.206 +Slack : 19.267 TNS : 0.000 ------------------------------------------------------------