diff --git a/MainController/MainController.bdf b/MainController/MainController.bdf index 7601c83..9d54cf1 100644 --- a/MainController/MainController.bdf +++ b/MainController/MainController.bdf @@ -774,118 +774,6 @@ applicable agreement for further details. (line (pt 8 8)(pt 24 8)) ) ) -(symbol - (rect 1040 544 1368 688) - (text "RAM9X8_SerialBusMaster" (rect 5 0 131 12)(font "Arial" )) - (text "inst4" (rect 8 128 31 140)(font "Arial" )) - (port - (pt 0 32) - (input) - (text "clk" (rect 0 0 14 12)(font "Arial" )) - (text "clk" (rect 21 27 35 39)(font "Arial" )) - (line (pt 0 32)(pt 16 32)) - ) - (port - (pt 0 48) - (input) - (text "address[address_bus_width-1..0]" (rect 0 0 161 12)(font "Arial" )) - (text "address[address_bus_width-1..0]" (rect 21 43 182 55)(font "Arial" )) - (line (pt 0 48)(pt 16 48)(line_width 3)) - ) - (port - (pt 0 64) - (input) - (text "we" (rect 0 0 12 12)(font "Arial" )) - (text "we" (rect 21 59 33 71)(font "Arial" )) - (line (pt 0 64)(pt 16 64)) - ) - (port - (pt 0 80) - (input) - (text "oe" (rect 0 0 11 12)(font "Arial" )) - (text "oe" (rect 21 75 32 87)(font "Arial" )) - (line (pt 0 80)(pt 16 80)) - ) - (port - (pt 0 96) - (input) - (text "ce" (rect 0 0 11 12)(font "Arial" )) - (text "ce" (rect 21 91 32 103)(font "Arial" )) - (line (pt 0 96)(pt 16 96)) - ) - (port - (pt 0 112) - (input) - (text "sbdatain" (rect 0 0 41 12)(font "Arial" )) - (text "sbdatain" (rect 21 107 62 119)(font "Arial" )) - (line (pt 0 112)(pt 16 112)) - ) - (port - (pt 328 48) - (output) - (text "sbclk" (rect 0 0 25 12)(font "Arial" )) - (text "sbclk" (rect 286 43 311 55)(font "Arial" )) - (line (pt 328 48)(pt 312 48)) - ) - (port - (pt 328 64) - (output) - (text "sbdataout" (rect 0 0 48 12)(font "Arial" )) - (text "sbdataout" (rect 267 59 315 71)(font "Arial" )) - (line (pt 328 64)(pt 312 64)) - ) - (port - (pt 328 32) - (bidir) - (text "data[data_bus_width-1..0]" (rect 0 0 126 12)(font "Arial" )) - (text "data[data_bus_width-1..0]" (rect 208 27 334 39)(font "Arial" )) - (line (pt 328 32)(pt 312 32)(line_width 3)) - ) - (parameter - "REG_ADDR_DATA_UPPER_BYTE" - "0" - "" - (type "PARAMETER_SIGNED_DEC") ) - (parameter - "REG_ADDR_DATA_LOWER_BYTE" - "1" - "" - (type "PARAMETER_SIGNED_DEC") ) - (parameter - "REG_ADDR_CMD_UPPER_BYTE" - "2" - "" - (type "PARAMETER_SIGNED_DEC") ) - (parameter - "REG_ADDR_CMD_LOWER_BYTE" - "3" - "" - (type "PARAMETER_SIGNED_DEC") ) - (parameter - "REG_ADDR_CONTROL_UPPER_BYTE" - "4" - "" - (type "PARAMETER_SIGNED_DEC") ) - (parameter - "REG_ADDR_CONTROL_LOWER_BYTE" - "5" - "" - (type "PARAMETER_SIGNED_DEC") ) - (parameter - "DATA_BUS_WIDTH" - "8" - "" - (type "PARAMETER_SIGNED_DEC") ) - (parameter - "ADDRESS_BUS_WIDTH" - "9" - "" - (type "PARAMETER_SIGNED_DEC") ) - (drawing - (rectangle (rect 16 16 312 128)) - ) - (annotation_block (parameter)(rect 1040 416 1360 544)) -) (symbol (rect 1696 88 1728 120) (text "GND" (rect 8 16 29 26)(font "Arial" (font_size 6))) @@ -1164,7 +1052,7 @@ applicable agreement for further details. ) ) (symbol - (rect 736 1480 864 1576) + (rect 744 1480 872 1576) (text "DigitalFilterBlock6" (rect 5 0 102 14)(font "Arial" (font_size 8))) (text "inst17" (rect 8 80 37 92)(font "Arial" )) (port @@ -1207,215 +1095,6 @@ applicable agreement for further details. (line (pt 8 8)(pt 24 8)) ) ) -(symbol - (rect 1048 1400 1376 1576) - (text "RAM9X8_PWM" (rect 5 0 78 12)(font "Arial" )) - (text "inst15" (rect 8 160 37 172)(font "Arial" )) - (port - (pt 0 32) - (input) - (text "clk" (rect 0 0 14 12)(font "Arial" )) - (text "clk" (rect 21 27 35 39)(font "Arial" )) - (line (pt 0 32)(pt 16 32)) - ) - (port - (pt 0 48) - (input) - (text "address[address_bus_width-1..0]" (rect 0 0 161 12)(font "Arial" )) - (text "address[address_bus_width-1..0]" (rect 21 43 182 55)(font "Arial" )) - (line (pt 0 48)(pt 16 48)(line_width 3)) - ) - (port - (pt 0 64) - (input) - (text "we" (rect 0 0 12 12)(font "Arial" )) - (text "we" (rect 21 59 33 71)(font "Arial" )) - (line (pt 0 64)(pt 16 64)) - ) - (port - (pt 0 80) - (input) - (text "oe" (rect 0 0 11 12)(font "Arial" )) - (text "oe" (rect 21 75 32 87)(font "Arial" )) - (line (pt 0 80)(pt 16 80)) - ) - (port - (pt 0 96) - (input) - (text "ce" (rect 0 0 11 12)(font "Arial" )) - (text "ce" (rect 21 91 32 103)(font "Arial" )) - (line (pt 0 96)(pt 16 96)) - ) - (port - (pt 0 112) - (input) - (text "pwm[5..0]" (rect 0 0 48 12)(font "Arial" )) - (text "pwm[5..0]" (rect 21 107 69 119)(font "Arial" )) - (line (pt 0 112)(pt 16 112)(line_width 3)) - ) - (port - (pt 0 128) - (input) - (text "error" (rect 0 0 22 12)(font "Arial" )) - (text "error" (rect 21 123 43 135)(font "Arial" )) - (line (pt 0 128)(pt 16 128)) - ) - (port - (pt 328 48) - (output) - (text "tk[31..0]" (rect 0 0 41 12)(font "Arial" )) - (text "tk[31..0]" (rect 273 43 314 55)(font "Arial" )) - (line (pt 328 48)(pt 312 48)(line_width 3)) - ) - (port - (pt 328 64) - (output) - (text "interrupt" (rect 0 0 40 12)(font "Arial" )) - (text "interrupt" (rect 274 59 314 71)(font "Arial" )) - (line (pt 328 64)(pt 312 64)) - ) - (port - (pt 328 32) - (bidir) - (text "data[data_bus_width-1..0]" (rect 0 0 126 12)(font "Arial" )) - (text "data[data_bus_width-1..0]" (rect 208 27 334 39)(font "Arial" )) - (line (pt 328 32)(pt 312 32)(line_width 3)) - ) - (parameter - "REG_ADDR_MODE_CONTROL_UPPER_BYTE" - "14" - "" - (type "PARAMETER_SIGNED_DEC") ) - (parameter - "REG_ADDR_MODE_CONTROL_LOWER_BYTE" - "15" - "" - (type "PARAMETER_SIGNED_DEC") ) - (parameter - "REG_ADDR_MASK_2_UPPER_BYTE" - "16" - "" - (type "PARAMETER_SIGNED_DEC") ) - (parameter - "REG_ADDR_MASK_2_LOWER_BYTE" - "17" - "" - (type "PARAMETER_SIGNED_DEC") ) - (parameter - "REG_ADDR_MASK_1_UPPER_BYTE" - "18" - "" - (type "PARAMETER_SIGNED_DEC") ) - (parameter - "REG_ADDR_MASK_1_LOWER_BYTE" - "19" - "" - (type "PARAMETER_SIGNED_DEC") ) - (parameter - "REG_ADDR_DIRECT_CONTROL_2_UPPER_BYTE" - "20" - "" - (type "PARAMETER_SIGNED_DEC") ) - (parameter - "REG_ADDR_DIRECT_CONTROL_2_LOWER_BYTE" - "21" - "" - (type "PARAMETER_SIGNED_DEC") ) - (parameter - "REG_ADDR_DIRECT_CONTROL_1_UPPER_BYTE" - "22" - "" - (type "PARAMETER_SIGNED_DEC") ) - (parameter - "REG_ADDR_DIRECT_CONTROL_1_LOWER_BYTE" - "23" - "" - (type "PARAMETER_SIGNED_DEC") ) - (parameter - "REG_ADDR_PERIOD_UPPER_BYTE" - "24" - "" - (type "PARAMETER_SIGNED_DEC") ) - (parameter - "REG_ADDR_PERIOD_LOWER_BYTE" - "25" - "" - (type "PARAMETER_SIGNED_DEC") ) - (parameter - "REG_ADDR_DIRECTION_2_UPPER_BYTE" - "26" - "" - (type "PARAMETER_SIGNED_DEC") ) - (parameter - "REG_ADDR_DIRECTION_2_LOWER_BYTE" - "27" - "" - (type "PARAMETER_SIGNED_DEC") ) - (parameter - "REG_ADDR_DIRECTION_1_UPPER_BYTE" - "28" - "" - (type "PARAMETER_SIGNED_DEC") ) - (parameter - "REG_ADDR_DIRECTION_1_LOWER_BYTE" - "29" - "" - (type "PARAMETER_SIGNED_DEC") ) - (parameter - "REG_ADDR_CHANNEL_UPPER_BYTE" - "30" - "" - (type "PARAMETER_SIGNED_DEC") ) - (parameter - "REG_ADDR_CHANNEL_LOWER_BYTE" - "31" - "" - (type "PARAMETER_SIGNED_DEC") ) - (parameter - "REG_ADDR_TIMING_UPPER_BYTE" - "32" - "" - (type "PARAMETER_SIGNED_DEC") ) - (parameter - "REG_ADDR_TIMING_LOWER_BYTE" - "33" - "" - (type "PARAMETER_SIGNED_DEC") ) - (parameter - "REG_ADDR_CMD_UPPER_BYTE" - "34" - "" - (type "PARAMETER_SIGNED_DEC") ) - (parameter - "REG_ADDR_CMD_LOWER_BYTE" - "35" - "" - (type "PARAMETER_SIGNED_DEC") ) - (parameter - "REG_ADDR_CONTROL_UPPER_BYTE" - "36" - "" - (type "PARAMETER_SIGNED_DEC") ) - (parameter - "REG_ADDR_CONTROL_LOWER_BYTE" - "37" - "" - (type "PARAMETER_SIGNED_DEC") ) - (parameter - "DATA_BUS_WIDTH" - "8" - "" - (type "PARAMETER_SIGNED_DEC") ) - (parameter - "ADDRESS_BUS_WIDTH" - "9" - "" - (type "PARAMETER_SIGNED_DEC") ) - (drawing - (rectangle (rect 16 16 312 160)) - ) - (annotation_block (parameter)(rect 1024 1016 1400 1400)) -) (symbol (rect 536 320 568 336) (text "VCC" (rect 7 0 27 10)(font "Arial" (font_size 6))) @@ -1518,10 +1197,208 @@ applicable agreement for further details. (rectangle (rect 16 16 80 80)) ) ) +(symbol + (rect 664 -576 928 -392) + (text "AlteraPLL" (rect 104 0 171 16)(font "Arial" (font_size 10))) + (text "inst" (rect 8 168 25 180)(font "Arial" )) + (port + (pt 0 64) + (input) + (text "inclk0" (rect 0 0 31 14)(font "Arial" (font_size 8))) + (text "inclk0" (rect 4 50 35 64)(font "Arial" (font_size 8))) + (line (pt 0 64)(pt 48 64)) + ) + (port + (pt 0 80) + (input) + (text "areset" (rect 0 0 36 14)(font "Arial" (font_size 8))) + (text "areset" (rect 4 66 40 80)(font "Arial" (font_size 8))) + (line (pt 0 80)(pt 48 80)) + ) + (port + (pt 264 64) + (output) + (text "c0" (rect 0 0 14 14)(font "Arial" (font_size 8))) + (text "c0" (rect 248 50 262 64)(font "Arial" (font_size 8))) + ) + (port + (pt 264 80) + (output) + (text "c1" (rect 0 0 14 14)(font "Arial" (font_size 8))) + (text "c1" (rect 248 66 262 80)(font "Arial" (font_size 8))) + ) + (port + (pt 264 96) + (output) + (text "c2" (rect 0 0 14 14)(font "Arial" (font_size 8))) + (text "c2" (rect 248 82 262 96)(font "Arial" (font_size 8))) + ) + (port + (pt 264 112) + (output) + (text "locked" (rect 0 0 36 14)(font "Arial" (font_size 8))) + (text "locked" (rect 229 98 265 112)(font "Arial" (font_size 8))) + ) + (drawing + (text "Cyclone III" (rect 202 168 257 180)(font "Arial" )) + (text "inclk0 frequency: 25.000 MHz" (rect 58 59 205 71)(font "Arial" )) + (text "Operation Mode: Normal" (rect 58 72 177 84)(font "Arial" )) + (text "Clk " (rect 59 93 79 105)(font "Arial" )) + (text "Ratio" (rect 80 93 105 105)(font "Arial" )) + (text "Ph (dg)" (rect 106 93 141 105)(font "Arial" )) + (text "DC (%)" (rect 140 93 176 105)(font "Arial" )) + (text "c0" (rect 62 107 73 119)(font "Arial" )) + (text "4/1" (rect 85 107 100 119)(font "Arial" )) + (text "0.00" (rect 112 107 133 119)(font "Arial" )) + (text "50.00" (rect 144 107 171 119)(font "Arial" )) + (text "c1" (rect 62 121 73 133)(font "Arial" )) + (text "8/1" (rect 85 121 100 133)(font "Arial" )) + (text "0.00" (rect 112 121 133 133)(font "Arial" )) + (text "50.00" (rect 144 121 171 133)(font "Arial" )) + (text "c2" (rect 62 135 73 147)(font "Arial" )) + (text "16/1" (rect 82 135 103 147)(font "Arial" )) + (text "0.00" (rect 112 135 133 147)(font "Arial" )) + (text "50.00" (rect 144 135 171 147)(font "Arial" )) + (line (pt 0 0)(pt 265 0)) + (line (pt 265 0)(pt 265 185)) + (line (pt 0 185)(pt 265 185)) + (line (pt 0 0)(pt 0 185)) + (line (pt 56 91)(pt 172 91)) + (line (pt 56 104)(pt 172 104)) + (line (pt 56 118)(pt 172 118)) + (line (pt 56 132)(pt 172 132)) + (line (pt 56 146)(pt 172 146)) + (line (pt 56 91)(pt 56 146)) + (line (pt 77 91)(pt 77 146)(line_width 3)) + (line (pt 103 91)(pt 103 146)(line_width 3)) + (line (pt 137 91)(pt 137 146)(line_width 3)) + (line (pt 171 91)(pt 171 146)) + (line (pt 48 48)(pt 215 48)) + (line (pt 215 48)(pt 215 167)) + (line (pt 48 167)(pt 215 167)) + (line (pt 48 48)(pt 48 167)) + (line (pt 263 64)(pt 215 64)) + (line (pt 263 80)(pt 215 80)) + (line (pt 263 96)(pt 215 96)) + (line (pt 263 112)(pt 215 112)) + ) +) +(symbol + (rect 1040 544 1368 688) + (text "RAM9X8_SerialBusMaster" (rect 5 0 131 12)(font "Arial" )) + (text "inst1" (rect 8 128 31 140)(font "Arial" )) + (port + (pt 0 32) + (input) + (text "clk" (rect 0 0 14 12)(font "Arial" )) + (text "clk" (rect 21 27 35 39)(font "Arial" )) + (line (pt 0 32)(pt 16 32)) + ) + (port + (pt 0 48) + (input) + (text "address[address_bus_width-1..0]" (rect 0 0 161 12)(font "Arial" )) + (text "address[address_bus_width-1..0]" (rect 21 43 182 55)(font "Arial" )) + (line (pt 0 48)(pt 16 48)(line_width 3)) + ) + (port + (pt 0 64) + (input) + (text "we" (rect 0 0 12 12)(font "Arial" )) + (text "we" (rect 21 59 33 71)(font "Arial" )) + (line (pt 0 64)(pt 16 64)) + ) + (port + (pt 0 80) + (input) + (text "oe" (rect 0 0 11 12)(font "Arial" )) + (text "oe" (rect 21 75 32 87)(font "Arial" )) + (line (pt 0 80)(pt 16 80)) + ) + (port + (pt 0 96) + (input) + (text "ce" (rect 0 0 11 12)(font "Arial" )) + (text "ce" (rect 21 91 32 103)(font "Arial" )) + (line (pt 0 96)(pt 16 96)) + ) + (port + (pt 0 112) + (input) + (text "sbdatain" (rect 0 0 41 12)(font "Arial" )) + (text "sbdatain" (rect 21 107 62 119)(font "Arial" )) + (line (pt 0 112)(pt 16 112)) + ) + (port + (pt 328 48) + (output) + (text "sbclk" (rect 0 0 25 12)(font "Arial" )) + (text "sbclk" (rect 286 43 311 55)(font "Arial" )) + (line (pt 328 48)(pt 312 48)) + ) + (port + (pt 328 64) + (output) + (text "sbdataout" (rect 0 0 48 12)(font "Arial" )) + (text "sbdataout" (rect 267 59 315 71)(font "Arial" )) + (line (pt 328 64)(pt 312 64)) + ) + (port + (pt 328 32) + (bidir) + (text "data[data_bus_width-1..0]" (rect 0 0 126 12)(font "Arial" )) + (text "data[data_bus_width-1..0]" (rect 208 27 334 39)(font "Arial" )) + (line (pt 328 32)(pt 312 32)(line_width 3)) + ) + (parameter + "REG_ADDR_DATA_LOWER_BYTE" + "0" + "" + (type "PARAMETER_SIGNED_DEC") ) + (parameter + "REG_ADDR_DATA_UPPER_BYTE" + "1" + "" + (type "PARAMETER_SIGNED_DEC") ) + (parameter + "REG_ADDR_CMD_LOWER_BYTE" + "2" + "" + (type "PARAMETER_SIGNED_DEC") ) + (parameter + "REG_ADDR_CMD_UPPER_BYTE" + "3" + "" + (type "PARAMETER_SIGNED_DEC") ) + (parameter + "REG_ADDR_CONTROL_LOWER_BYTE" + "4" + "" + (type "PARAMETER_SIGNED_DEC") ) + (parameter + "REG_ADDR_CONTROL_UPPER_BYTE" + "5" + "" + (type "PARAMETER_SIGNED_DEC") ) + (parameter + "DATA_BUS_WIDTH" + "8" + "" + (type "PARAMETER_SIGNED_DEC") ) + (parameter + "ADDRESS_BUS_WIDTH" + "9" + "" + (type "PARAMETER_SIGNED_DEC") ) + (drawing + (rectangle (rect 16 16 312 128)) + ) + (annotation_block (parameter)(rect 1024 416 1344 544)) +) (symbol (rect 1040 864 1368 1008) (text "RAM9X8_ParallelBusMaster" (rect 5 0 139 12)(font "Arial" )) - (text "inst7" (rect 8 128 31 140)(font "Arial" )) + (text "inst4" (rect 8 128 31 140)(font "Arial" )) (port (pt 0 32) (input) @@ -1600,42 +1477,42 @@ applicable agreement for further details. (line (pt 328 96)(pt 312 96)(line_width 3)) ) (parameter - "REG_ADDR_FIRST_FREE_UPPER_BYTE" + "REG_ADDR_FIRST_FREE_LOWER_BYTE" "6" "" (type "PARAMETER_SIGNED_DEC") ) (parameter - "REG_ADDR_FIRST_FREE_LOWER_BYTE" + "REG_ADDR_FIRST_FREE_UPPER_BYTE" "7" "" (type "PARAMETER_SIGNED_DEC") ) (parameter - "REG_ADDR_CMD_UPPER_BYTE" + "REG_ADDR_CMD_LOWER_BYTE" "8" "" (type "PARAMETER_SIGNED_DEC") ) (parameter - "REG_ADDR_CMD_LOWER_BYTE" + "REG_ADDR_CMD_UPPER_BYTE" "9" "" (type "PARAMETER_SIGNED_DEC") ) (parameter - "REG_ADDR_FILL_ADDRESS_SPACE_UPPER_BYTE" + "REG_ADDR_FILL_ADDRESS_SPACE_LOWER_BYTE" "10" "" (type "PARAMETER_SIGNED_DEC") ) (parameter - "REG_ADDR_FILL_ADDRESS_SPACE_LOWER_BYTE" + "REG_ADDR_FILL_ADDRESS_SPACE_UPPER_BYTE" "11" "" (type "PARAMETER_SIGNED_DEC") ) (parameter - "REG_ADDR_CONTROL_UPPER_BYTE" + "REG_ADDR_CONTROL_LOWER_BYTE" "12" "" (type "PARAMETER_SIGNED_DEC") ) (parameter - "REG_ADDR_CONTROL_LOWER_BYTE" + "REG_ADDR_CONTROL_UPPER_BYTE" "13" "" (type "PARAMETER_SIGNED_DEC") ) @@ -1659,10 +1536,338 @@ applicable agreement for further details. ) (annotation_block (parameter)(rect 1024 696 1408 864)) ) +(symbol + (rect 1048 1400 1376 1576) + (text "RAM9X8_PWM" (rect 5 0 78 12)(font "Arial" )) + (text "inst7" (rect 8 160 31 172)(font "Arial" )) + (port + (pt 0 32) + (input) + (text "clk" (rect 0 0 14 12)(font "Arial" )) + (text "clk" (rect 21 27 35 39)(font "Arial" )) + (line (pt 0 32)(pt 16 32)) + ) + (port + (pt 0 48) + (input) + (text "address[address_bus_width-1..0]" (rect 0 0 161 12)(font "Arial" )) + (text "address[address_bus_width-1..0]" (rect 21 43 182 55)(font "Arial" )) + (line (pt 0 48)(pt 16 48)(line_width 3)) + ) + (port + (pt 0 64) + (input) + (text "we" (rect 0 0 12 12)(font "Arial" )) + (text "we" (rect 21 59 33 71)(font "Arial" )) + (line (pt 0 64)(pt 16 64)) + ) + (port + (pt 0 80) + (input) + (text "oe" (rect 0 0 11 12)(font "Arial" )) + (text "oe" (rect 21 75 32 87)(font "Arial" )) + (line (pt 0 80)(pt 16 80)) + ) + (port + (pt 0 96) + (input) + (text "ce" (rect 0 0 11 12)(font "Arial" )) + (text "ce" (rect 21 91 32 103)(font "Arial" )) + (line (pt 0 96)(pt 16 96)) + ) + (port + (pt 0 112) + (input) + (text "pwm[5..0]" (rect 0 0 48 12)(font "Arial" )) + (text "pwm[5..0]" (rect 21 107 69 119)(font "Arial" )) + (line (pt 0 112)(pt 16 112)(line_width 3)) + ) + (port + (pt 0 128) + (input) + (text "error" (rect 0 0 22 12)(font "Arial" )) + (text "error" (rect 21 123 43 135)(font "Arial" )) + (line (pt 0 128)(pt 16 128)) + ) + (port + (pt 328 48) + (output) + (text "tk[31..0]" (rect 0 0 41 12)(font "Arial" )) + (text "tk[31..0]" (rect 273 43 314 55)(font "Arial" )) + (line (pt 328 48)(pt 312 48)(line_width 3)) + ) + (port + (pt 328 64) + (output) + (text "interrupt" (rect 0 0 40 12)(font "Arial" )) + (text "interrupt" (rect 274 59 314 71)(font "Arial" )) + (line (pt 328 64)(pt 312 64)) + ) + (port + (pt 328 32) + (bidir) + (text "data[data_bus_width-1..0]" (rect 0 0 126 12)(font "Arial" )) + (text "data[data_bus_width-1..0]" (rect 208 27 334 39)(font "Arial" )) + (line (pt 328 32)(pt 312 32)(line_width 3)) + ) + (parameter + "REG_ADDR_MODE_CONTROL_LOWER_BYTE" + "14" + "" + (type "PARAMETER_SIGNED_DEC") ) + (parameter + "REG_ADDR_MODE_CONTROL_UPPER_BYTE" + "15" + "" + (type "PARAMETER_SIGNED_DEC") ) + (parameter + "REG_ADDR_MASK_2_LOWER_BYTE" + "16" + "" + (type "PARAMETER_SIGNED_DEC") ) + (parameter + "REG_ADDR_MASK_2_UPPER_BYTE" + "17" + "" + (type "PARAMETER_SIGNED_DEC") ) + (parameter + "REG_ADDR_MASK_1_LOWER_BYTE" + "18" + "" + (type "PARAMETER_SIGNED_DEC") ) + (parameter + "REG_ADDR_MASK_1_UPPER_BYTE" + "19" + "" + (type "PARAMETER_SIGNED_DEC") ) + (parameter + "REG_ADDR_DIRECT_CONTROL_2_LOWER_BYTE" + "20" + "" + (type "PARAMETER_SIGNED_DEC") ) + (parameter + "REG_ADDR_DIRECT_CONTROL_2_UPPER_BYTE" + "21" + "" + (type "PARAMETER_SIGNED_DEC") ) + (parameter + "REG_ADDR_DIRECT_CONTROL_1_LOWER_BYTE" + "22" + "" + (type "PARAMETER_SIGNED_DEC") ) + (parameter + "REG_ADDR_DIRECT_CONTROL_1_UPPER_BYTE" + "23" + "" + (type "PARAMETER_SIGNED_DEC") ) + (parameter + "REG_ADDR_PERIOD_LOWER_BYTE" + "24" + "" + (type "PARAMETER_SIGNED_DEC") ) + (parameter + "REG_ADDR_PERIOD_UPPER_BYTE" + "25" + "" + (type "PARAMETER_SIGNED_DEC") ) + (parameter + "REG_ADDR_DIRECTION_2_LOWER_BYTE" + "26" + "" + (type "PARAMETER_SIGNED_DEC") ) + (parameter + "REG_ADDR_DIRECTION_2_UPPER_BYTE" + "27" + "" + (type "PARAMETER_SIGNED_DEC") ) + (parameter + "REG_ADDR_DIRECTION_1_LOWER_BYTE" + "28" + "" + (type "PARAMETER_SIGNED_DEC") ) + (parameter + "REG_ADDR_DIRECTION_1_UPPER_BYTE" + "29" + "" + (type "PARAMETER_SIGNED_DEC") ) + (parameter + "REG_ADDR_CHANNEL_LOWER_BYTE" + "30" + "" + (type "PARAMETER_SIGNED_DEC") ) + (parameter + "REG_ADDR_CHANNEL_UPPER_BYTE" + "31" + "" + (type "PARAMETER_SIGNED_DEC") ) + (parameter + "REG_ADDR_TIMING_LOWER_BYTE" + "32" + "" + (type "PARAMETER_SIGNED_DEC") ) + (parameter + "REG_ADDR_TIMING_UPPER_BYTE" + "33" + "" + (type "PARAMETER_SIGNED_DEC") ) + (parameter + "REG_ADDR_CMD_LOWER_BYTE" + "34" + "" + (type "PARAMETER_SIGNED_DEC") ) + (parameter + "REG_ADDR_CMD_UPPER_BYTE" + "35" + "" + (type "PARAMETER_SIGNED_DEC") ) + (parameter + "REG_ADDR_CONTROL_LOWER_BYTE" + "36" + "" + (type "PARAMETER_SIGNED_DEC") ) + (parameter + "REG_ADDR_CONTROL_UPPER_BYTE" + "37" + "" + (type "PARAMETER_SIGNED_DEC") ) + (parameter + "DATA_BUS_WIDTH" + "8" + "" + (type "PARAMETER_SIGNED_DEC") ) + (parameter + "ADDRESS_BUS_WIDTH" + "9" + "" + (type "PARAMETER_SIGNED_DEC") ) + (drawing + (rectangle (rect 16 16 312 160)) + ) + (annotation_block (parameter)(rect 1032 1016 1408 1400)) +) +(symbol + (rect 1040 240 1368 384) + (text "RAM9X8_LedController" (rect 5 0 117 12)(font "Arial" )) + (text "inst15" (rect 8 128 37 140)(font "Arial" )) + (port + (pt 0 32) + (input) + (text "clk" (rect 0 0 14 12)(font "Arial" )) + (text "clk" (rect 21 27 35 39)(font "Arial" )) + (line (pt 0 32)(pt 16 32)) + ) + (port + (pt 0 48) + (input) + (text "address[address_bus_width-1..0]" (rect 0 0 161 12)(font "Arial" )) + (text "address[address_bus_width-1..0]" (rect 21 43 182 55)(font "Arial" )) + (line (pt 0 48)(pt 16 48)(line_width 3)) + ) + (port + (pt 0 64) + (input) + (text "we" (rect 0 0 12 12)(font "Arial" )) + (text "we" (rect 21 59 33 71)(font "Arial" )) + (line (pt 0 64)(pt 16 64)) + ) + (port + (pt 0 80) + (input) + (text "oe" (rect 0 0 11 12)(font "Arial" )) + (text "oe" (rect 21 75 32 87)(font "Arial" )) + (line (pt 0 80)(pt 16 80)) + ) + (port + (pt 0 96) + (input) + (text "ce" (rect 0 0 11 12)(font "Arial" )) + (text "ce" (rect 21 91 32 103)(font "Arial" )) + (line (pt 0 96)(pt 16 96)) + ) + (port + (pt 0 112) + (input) + (text "error" (rect 0 0 22 12)(font "Arial" )) + (text "error" (rect 21 107 43 119)(font "Arial" )) + (line (pt 0 112)(pt 16 112)) + ) + (port + (pt 328 48) + (output) + (text "asyncline" (rect 0 0 47 12)(font "Arial" )) + (text "asyncline" (rect 268 43 315 55)(font "Arial" )) + (line (pt 328 48)(pt 312 48)) + ) + (port + (pt 328 64) + (output) + (text "divclk" (rect 0 0 29 12)(font "Arial" )) + (text "divclk" (rect 283 59 312 71)(font "Arial" )) + (line (pt 328 64)(pt 312 64)) + ) + (port + (pt 328 80) + (output) + (text "init" (rect 0 0 14 12)(font "Arial" )) + (text "init" (rect 296 75 310 87)(font "Arial" )) + (line (pt 328 80)(pt 312 80)) + ) + (port + (pt 328 32) + (bidir) + (text "data[data_bus_width-1..0]" (rect 0 0 126 12)(font "Arial" )) + (text "data[data_bus_width-1..0]" (rect 208 27 334 39)(font "Arial" )) + (line (pt 328 32)(pt 312 32)(line_width 3)) + ) + (parameter + "REG_ADDR_ACTIVE_DEVICE_LOWER_BYTE" + "38" + "" + (type "PARAMETER_SIGNED_DEC") ) + (parameter + "REG_ADDR_ACTIVE_DEVICE_UPPER_BYTE" + "39" + "" + (type "PARAMETER_SIGNED_DEC") ) + (parameter + "REG_ADDR_TEST_LOWER_BYTE" + "40" + "" + (type "PARAMETER_SIGNED_DEC") ) + (parameter + "REG_ADDR_TEST_UPPER_BYTE" + "41" + "" + (type "PARAMETER_SIGNED_DEC") ) + (parameter + "REG_ADDR_VERSION_LOWER_BYTE" + "42" + "" + (type "PARAMETER_SIGNED_DEC") ) + (parameter + "REG_ADDR_VERSION_UPPER_BYTE" + "43" + "" + (type "PARAMETER_SIGNED_DEC") ) + (parameter + "DATA_BUS_WIDTH" + "8" + "" + (type "PARAMETER_SIGNED_DEC") ) + (parameter + "ADDRESS_BUS_WIDTH" + "9" + "" + (type "PARAMETER_SIGNED_DEC") ) + (drawing + (rectangle (rect 16 16 312 128)) + ) + (annotation_block (parameter)(rect 1024 112 1376 240)) +) (symbol (rect 1040 -144 1368 64) (text "RAM9X8_Loader" (rect 5 0 85 12)(font "Arial" )) - (text "inst21" (rect 8 192 37 204)(font "Arial" )) + (text "inst16" (rect 8 192 37 204)(font "Arial" )) (port (pt 0 32) (input) @@ -1783,12 +1988,12 @@ applicable agreement for further details. (line (pt 328 32)(pt 312 32)(line_width 3)) ) (parameter - "REG_ADDR_LOADER_UPPER_BYTE" + "REG_ADDR_LOADER_LOWER_BYTE" "44" "" (type "PARAMETER_SIGNED_DEC") ) (parameter - "REG_ADDR_LOADER_LOWER_BYTE" + "REG_ADDR_LOADER_UPPER_BYTE" "45" "" (type "PARAMETER_SIGNED_DEC") ) @@ -1810,7 +2015,7 @@ applicable agreement for further details. (symbol (rect 1040 -392 1368 -248) (text "RAM9X8_HWPBusMaster" (rect 5 0 130 12)(font "Arial" )) - (text "inst24" (rect 8 128 37 140)(font "Arial" )) + (text "inst19" (rect 8 128 37 140)(font "Arial" )) (port (pt 0 32) (input) @@ -1875,42 +2080,42 @@ applicable agreement for further details. (line (pt 328 32)(pt 312 32)(line_width 3)) ) (parameter - "REG_ADDR_CMD_2_UPPER_BYTE" + "REG_ADDR_CMD_2_LOWER_BYTE" "46" "" (type "PARAMETER_SIGNED_DEC") ) (parameter - "REG_ADDR_CMD_2_LOWER_BYTE" + "REG_ADDR_CMD_2_UPPER_BYTE" "47" "" (type "PARAMETER_SIGNED_DEC") ) (parameter - "REG_ADDR_CMD_1_UPPER_BYTE" + "REG_ADDR_CMD_1_LOWER_BYTE" "48" "" (type "PARAMETER_SIGNED_DEC") ) (parameter - "REG_ADDR_CMD_1_LOWER_BYTE" + "REG_ADDR_CMD_1_UPPER_BYTE" "49" "" (type "PARAMETER_SIGNED_DEC") ) (parameter - "REG_ADDR_DATA_2_UPPER_BYTE" + "REG_ADDR_DATA_2_LOWER_BYTE" "50" "" (type "PARAMETER_SIGNED_DEC") ) (parameter - "REG_ADDR_DATA_2_LOWER_BYTE" + "REG_ADDR_DATA_2_UPPER_BYTE" "51" "" (type "PARAMETER_SIGNED_DEC") ) (parameter - "REG_ADDR_DATA_1_UPPER_BYTE" + "REG_ADDR_DATA_1_LOWER_BYTE" "52" "" (type "PARAMETER_SIGNED_DEC") ) (parameter - "REG_ADDR_DATA_1_LOWER_BYTE" + "REG_ADDR_DATA_1_UPPER_BYTE" "53" "" (type "PARAMETER_SIGNED_DEC") ) @@ -1932,7 +2137,7 @@ applicable agreement for further details. (symbol (rect 1048 1880 1376 2024) (text "RAM9X8_OpticalBusMaster" (rect 5 0 138 12)(font "Arial" )) - (text "inst27" (rect 8 128 37 140)(font "Arial" )) + (text "inst21" (rect 8 128 37 140)(font "Arial" )) (port (pt 0 32) (input) @@ -1990,92 +2195,92 @@ applicable agreement for further details. (line (pt 328 32)(pt 312 32)(line_width 3)) ) (parameter - "REG_ADDR_CMD_UPPER_BYTE" + "REG_ADDR_CMD_LOWER_BYTE" "54" "" (type "PARAMETER_SIGNED_DEC") ) (parameter - "REG_ADDR_CMD_LOWER_BYTE" + "REG_ADDR_CMD_UPPER_BYTE" "55" "" (type "PARAMETER_SIGNED_DEC") ) (parameter - "REG_ADDR_WORD_8_UPPER_BYTE" + "REG_ADDR_WORD_8_LOWER_BYTE" "56" "" (type "PARAMETER_SIGNED_DEC") ) (parameter - "REG_ADDR_WORD_8_LOWER_BYTE" + "REG_ADDR_WORD_8_UPPER_BYTE" "57" "" (type "PARAMETER_SIGNED_DEC") ) (parameter - "REG_ADDR_WORD_7_UPPER_BYTE" + "REG_ADDR_WORD_7_LOWER_BYTE" "58" "" (type "PARAMETER_SIGNED_DEC") ) (parameter - "REG_ADDR_WORD_7_LOWER_BYTE" + "REG_ADDR_WORD_7_UPPER_BYTE" "59" "" (type "PARAMETER_SIGNED_DEC") ) (parameter - "REG_ADDR_WORD_6_UPPER_BYTE" + "REG_ADDR_WORD_6_LOWER_BYTE" "60" "" (type "PARAMETER_SIGNED_DEC") ) (parameter - "REG_ADDR_WORD_6_LOWER_BYTE" + "REG_ADDR_WORD_6_UPPER_BYTE" "61" "" (type "PARAMETER_SIGNED_DEC") ) (parameter - "REG_ADDR_WORD_5_UPPER_BYTE" + "REG_ADDR_WORD_5_LOWER_BYTE" "62" "" (type "PARAMETER_SIGNED_DEC") ) (parameter - "REG_ADDR_WORD_5_LOWER_BYTE" + "REG_ADDR_WORD_5_UPPER_BYTE" "63" "" (type "PARAMETER_SIGNED_DEC") ) (parameter - "REG_ADDR_WORD_4_UPPER_BYTE" + "REG_ADDR_WORD_4_LOWER_BYTE" "64" "" (type "PARAMETER_SIGNED_DEC") ) (parameter - "REG_ADDR_WORD_4_LOWER_BYTE" + "REG_ADDR_WORD_4_UPPER_BYTE" "65" "" (type "PARAMETER_SIGNED_DEC") ) (parameter - "REG_ADDR_WORD_3_UPPER_BYTE" + "REG_ADDR_WORD_3_LOWER_BYTE" "66" "" (type "PARAMETER_SIGNED_DEC") ) (parameter - "REG_ADDR_WORD_3_LOWER_BYTE" + "REG_ADDR_WORD_3_UPPER_BYTE" "67" "" (type "PARAMETER_SIGNED_DEC") ) (parameter - "REG_ADDR_WORD_2_UPPER_BYTE" + "REG_ADDR_WORD_2_LOWER_BYTE" "68" "" (type "PARAMETER_SIGNED_DEC") ) (parameter - "REG_ADDR_WORD_2_LOWER_BYTE" + "REG_ADDR_WORD_2_UPPER_BYTE" "69" "" (type "PARAMETER_SIGNED_DEC") ) (parameter - "REG_ADDR_WORD_1_UPPER_BYTE" + "REG_ADDR_WORD_1_LOWER_BYTE" "70" "" (type "PARAMETER_SIGNED_DEC") ) (parameter - "REG_ADDR_WORD_1_LOWER_BYTE" + "REG_ADDR_WORD_1_UPPER_BYTE" "71" "" (type "PARAMETER_SIGNED_DEC") ) @@ -2094,265 +2299,6 @@ applicable agreement for further details. ) (annotation_block (parameter)(rect 1032 1584 1344 1880)) ) -(symbol - (rect 1040 240 1368 384) - (text "RAM9X8_LedController" (rect 5 0 117 12)(font "Arial" )) - (text "inst29" (rect 8 128 37 140)(font "Arial" )) - (port - (pt 0 32) - (input) - (text "clk" (rect 0 0 14 12)(font "Arial" )) - (text "clk" (rect 21 27 35 39)(font "Arial" )) - (line (pt 0 32)(pt 16 32)) - ) - (port - (pt 0 48) - (input) - (text "address[address_bus_width-1..0]" (rect 0 0 161 12)(font "Arial" )) - (text "address[address_bus_width-1..0]" (rect 21 43 182 55)(font "Arial" )) - (line (pt 0 48)(pt 16 48)(line_width 3)) - ) - (port - (pt 0 64) - (input) - (text "we" (rect 0 0 12 12)(font "Arial" )) - (text "we" (rect 21 59 33 71)(font "Arial" )) - (line (pt 0 64)(pt 16 64)) - ) - (port - (pt 0 80) - (input) - (text "oe" (rect 0 0 11 12)(font "Arial" )) - (text "oe" (rect 21 75 32 87)(font "Arial" )) - (line (pt 0 80)(pt 16 80)) - ) - (port - (pt 0 96) - (input) - (text "ce" (rect 0 0 11 12)(font "Arial" )) - (text "ce" (rect 21 91 32 103)(font "Arial" )) - (line (pt 0 96)(pt 16 96)) - ) - (port - (pt 0 112) - (input) - (text "error" (rect 0 0 22 12)(font "Arial" )) - (text "error" (rect 21 107 43 119)(font "Arial" )) - (line (pt 0 112)(pt 16 112)) - ) - (port - (pt 328 48) - (output) - (text "asyncline" (rect 0 0 47 12)(font "Arial" )) - (text "asyncline" (rect 268 43 315 55)(font "Arial" )) - (line (pt 328 48)(pt 312 48)) - ) - (port - (pt 328 64) - (output) - (text "divclk" (rect 0 0 29 12)(font "Arial" )) - (text "divclk" (rect 283 59 312 71)(font "Arial" )) - (line (pt 328 64)(pt 312 64)) - ) - (port - (pt 328 80) - (output) - (text "init" (rect 0 0 14 12)(font "Arial" )) - (text "init" (rect 296 75 310 87)(font "Arial" )) - (line (pt 328 80)(pt 312 80)) - ) - (port - (pt 328 32) - (bidir) - (text "data[data_bus_width-1..0]" (rect 0 0 126 12)(font "Arial" )) - (text "data[data_bus_width-1..0]" (rect 208 27 334 39)(font "Arial" )) - (line (pt 328 32)(pt 312 32)(line_width 3)) - ) - (parameter - "REG_ADDR_ACTIVE_DEVICE_UPPER_BYTE" - "38" - "" - (type "PARAMETER_SIGNED_DEC") ) - (parameter - "REG_ADDR_ACTIVE_DEVICE_LOWER_BYTE" - "39" - "" - (type "PARAMETER_SIGNED_DEC") ) - (parameter - "REG_ADDR_TEST_UPPER_BYTE" - "40" - "" - (type "PARAMETER_SIGNED_DEC") ) - (parameter - "REG_ADDR_TEST_LOWER_BYTE" - "41" - "" - (type "PARAMETER_SIGNED_DEC") ) - (parameter - "REG_ADDR_VERSION_UPPER_BYTE" - "42" - "" - (type "PARAMETER_SIGNED_DEC") ) - (parameter - "REG_ADDR_VERSION_LOWER_BYTE" - "43" - "" - (type "PARAMETER_SIGNED_DEC") ) - (parameter - "DATA_BUS_WIDTH" - "8" - "" - (type "PARAMETER_SIGNED_DEC") ) - (parameter - "ADDRESS_BUS_WIDTH" - "9" - "" - (type "PARAMETER_SIGNED_DEC") ) - (drawing - (rectangle (rect 16 16 312 128)) - ) - (annotation_block (parameter)(rect 1024 112 1376 240)) -) -(symbol - (rect 1048 2080 1376 2192) - (text "RAM" (rect 5 0 28 12)(font "Arial" )) - (text "inst31" (rect 8 96 37 108)(font "Arial" )) - (port - (pt 0 32) - (input) - (text "address[address_bus_width-1..0]" (rect 0 0 161 12)(font "Arial" )) - (text "address[address_bus_width-1..0]" (rect 21 27 182 39)(font "Arial" )) - (line (pt 0 32)(pt 16 32)(line_width 3)) - ) - (port - (pt 0 48) - (input) - (text "we" (rect 0 0 12 12)(font "Arial" )) - (text "we" (rect 21 43 33 55)(font "Arial" )) - (line (pt 0 48)(pt 16 48)) - ) - (port - (pt 0 64) - (input) - (text "oe" (rect 0 0 11 12)(font "Arial" )) - (text "oe" (rect 21 59 32 71)(font "Arial" )) - (line (pt 0 64)(pt 16 64)) - ) - (port - (pt 0 80) - (input) - (text "ce" (rect 0 0 11 12)(font "Arial" )) - (text "ce" (rect 21 75 32 87)(font "Arial" )) - (line (pt 0 80)(pt 16 80)) - ) - (port - (pt 328 32) - (bidir) - (text "data[data_bus_width-1..0]" (rect 0 0 126 12)(font "Arial" )) - (text "data[data_bus_width-1..0]" (rect 208 27 334 39)(font "Arial" )) - (line (pt 328 32)(pt 312 32)(line_width 3)) - ) - (parameter - "DATA_BUS_WIDTH" - "8" - "" - (type "PARAMETER_SIGNED_DEC") ) - (parameter - "ADDRESS_BUS_WIDTH" - "9" - "" - (type "PARAMETER_SIGNED_DEC") ) - (drawing - (rectangle (rect 16 16 312 96)) - ) - (annotation_block (parameter)(rect 1032 2032 1272 2080)) -) -(symbol - (rect 664 -576 928 -392) - (text "AlteraPLL" (rect 104 0 171 16)(font "Arial" (font_size 10))) - (text "inst" (rect 8 168 25 180)(font "Arial" )) - (port - (pt 0 64) - (input) - (text "inclk0" (rect 0 0 31 14)(font "Arial" (font_size 8))) - (text "inclk0" (rect 4 50 35 64)(font "Arial" (font_size 8))) - (line (pt 0 64)(pt 48 64)) - ) - (port - (pt 0 80) - (input) - (text "areset" (rect 0 0 36 14)(font "Arial" (font_size 8))) - (text "areset" (rect 4 66 40 80)(font "Arial" (font_size 8))) - (line (pt 0 80)(pt 48 80)) - ) - (port - (pt 264 64) - (output) - (text "c0" (rect 0 0 14 14)(font "Arial" (font_size 8))) - (text "c0" (rect 248 50 262 64)(font "Arial" (font_size 8))) - ) - (port - (pt 264 80) - (output) - (text "c1" (rect 0 0 14 14)(font "Arial" (font_size 8))) - (text "c1" (rect 248 66 262 80)(font "Arial" (font_size 8))) - ) - (port - (pt 264 96) - (output) - (text "c2" (rect 0 0 14 14)(font "Arial" (font_size 8))) - (text "c2" (rect 248 82 262 96)(font "Arial" (font_size 8))) - ) - (port - (pt 264 112) - (output) - (text "locked" (rect 0 0 36 14)(font "Arial" (font_size 8))) - (text "locked" (rect 229 98 265 112)(font "Arial" (font_size 8))) - ) - (drawing - (text "Cyclone III" (rect 202 168 257 180)(font "Arial" )) - (text "inclk0 frequency: 25.000 MHz" (rect 58 59 205 71)(font "Arial" )) - (text "Operation Mode: Normal" (rect 58 72 177 84)(font "Arial" )) - (text "Clk " (rect 59 93 79 105)(font "Arial" )) - (text "Ratio" (rect 80 93 105 105)(font "Arial" )) - (text "Ph (dg)" (rect 106 93 141 105)(font "Arial" )) - (text "DC (%)" (rect 140 93 176 105)(font "Arial" )) - (text "c0" (rect 62 107 73 119)(font "Arial" )) - (text "4/1" (rect 85 107 100 119)(font "Arial" )) - (text "0.00" (rect 112 107 133 119)(font "Arial" )) - (text "50.00" (rect 144 107 171 119)(font "Arial" )) - (text "c1" (rect 62 121 73 133)(font "Arial" )) - (text "8/1" (rect 85 121 100 133)(font "Arial" )) - (text "0.00" (rect 112 121 133 133)(font "Arial" )) - (text "50.00" (rect 144 121 171 133)(font "Arial" )) - (text "c2" (rect 62 135 73 147)(font "Arial" )) - (text "16/1" (rect 82 135 103 147)(font "Arial" )) - (text "0.00" (rect 112 135 133 147)(font "Arial" )) - (text "50.00" (rect 144 135 171 147)(font "Arial" )) - (line (pt 0 0)(pt 265 0)) - (line (pt 265 0)(pt 265 185)) - (line (pt 0 185)(pt 265 185)) - (line (pt 0 0)(pt 0 185)) - (line (pt 56 91)(pt 172 91)) - (line (pt 56 104)(pt 172 104)) - (line (pt 56 118)(pt 172 118)) - (line (pt 56 132)(pt 172 132)) - (line (pt 56 146)(pt 172 146)) - (line (pt 56 91)(pt 56 146)) - (line (pt 77 91)(pt 77 146)(line_width 3)) - (line (pt 103 91)(pt 103 146)(line_width 3)) - (line (pt 137 91)(pt 137 146)(line_width 3)) - (line (pt 171 91)(pt 171 146)) - (line (pt 48 48)(pt 215 48)) - (line (pt 215 48)(pt 215 167)) - (line (pt 48 167)(pt 215 167)) - (line (pt 48 48)(pt 48 167)) - (line (pt 263 64)(pt 215 64)) - (line (pt 263 80)(pt 215 80)) - (line (pt 263 96)(pt 215 96)) - (line (pt 263 112)(pt 215 112)) - ) -) (connector (pt 544 272) (pt 704 272) @@ -2571,62 +2517,6 @@ applicable agreement for further details. (pt 608 896) (pt 608 976) ) -(connector - (pt 736 1512) - (pt 608 1512) -) -(connector - (pt 736 1528) - (pt 536 1528) - (bus) -) -(connector - (pt 608 1432) - (pt 1048 1432) -) -(connector - (pt 1000 1448) - (pt 1048 1448) - (bus) -) -(connector - (pt 984 1464) - (pt 1048 1464) -) -(connector - (pt 968 1480) - (pt 1048 1480) -) -(connector - (pt 952 1496) - (pt 1048 1496) -) -(connector - (pt 1848 1448) - (pt 1376 1448) - (bus) -) -(connector - (pt 1848 1464) - (pt 1376 1464) -) -(connector - (pt 864 1512) - (pt 1048 1512) - (bus) -) -(connector - (pt 912 1528) - (pt 1048 1528) -) -(connector - (pt 912 1496) - (pt 912 1528) -) -(connector - (pt 608 976) - (pt 608 1432) -) (connector (pt 1000 592) (pt 1000 912) @@ -3039,116 +2929,6 @@ applicable agreement for further details. (pt 800 -280) (pt 904 -280) ) -(connector - (pt 1000 912) - (pt 1000 1448) - (bus) -) -(connector - (pt 984 928) - (pt 984 1464) -) -(connector - (pt 968 944) - (pt 968 1480) -) -(connector - (pt 952 960) - (pt 952 1496) -) -(connector - (pt 1000 1928) - (pt 1048 1928) - (bus) -) -(connector - (pt 1856 1928) - (pt 1376 1928) -) -(connector - (pt 984 1944) - (pt 1048 1944) -) -(connector - (pt 1856 1944) - (pt 1376 1944) -) -(connector - (pt 968 1960) - (pt 1048 1960) -) -(connector - (pt 952 1976) - (pt 1048 1976) -) -(connector - (pt 608 1912) - (pt 1048 1912) -) -(connector - (pt 608 1432) - (pt 608 1512) -) -(connector - (pt 608 1512) - (pt 608 1912) -) -(connector - (pt 1000 1448) - (pt 1000 1928) - (bus) -) -(connector - (pt 1000 1928) - (pt 1000 2112) - (bus) -) -(connector - (pt 984 1464) - (pt 984 1944) -) -(connector - (pt 984 1944) - (pt 984 2128) -) -(connector - (pt 968 1480) - (pt 968 1960) -) -(connector - (pt 968 1960) - (pt 968 2144) -) -(connector - (pt 952 1496) - (pt 952 1976) -) -(connector - (pt 952 1976) - (pt 952 2160) -) -(connector - (pt 1000 2112) - (pt 1048 2112) - (bus) -) -(connector - (pt 984 2128) - (pt 1048 2128) -) -(connector - (pt 968 2144) - (pt 1048 2144) -) -(connector - (pt 952 2160) - (pt 1048 2160) -) -(connector - (pt 1376 2112) - (pt 1856 2112) - (bus) -) (connector (pt 608 -16) (pt 608 32) @@ -3201,6 +2981,187 @@ applicable agreement for further details. (pt 576 -496) (pt 576 -480) ) +(connector + (pt 1856 2112) + (pt 1424 2112) + (bus) +) +(connector + (pt 1368 272) + (pt 1496 272) + (bus) +) +(connector + (pt 1496 272) + (pt 1496 1432) + (bus) +) +(connector + (pt 608 1432) + (pt 1048 1432) +) +(connector + (pt 1000 1448) + (pt 1048 1448) + (bus) +) +(connector + (pt 984 1464) + (pt 1048 1464) +) +(connector + (pt 968 1480) + (pt 1048 1480) +) +(connector + (pt 912 1528) + (pt 1048 1528) +) +(connector + (pt 912 1496) + (pt 912 1528) +) +(connector + (pt 952 1496) + (pt 1048 1496) +) +(connector + (pt 608 976) + (pt 608 1432) +) +(connector + (pt 1000 912) + (pt 1000 1448) + (bus) +) +(connector + (pt 984 928) + (pt 984 1464) +) +(connector + (pt 1376 1464) + (pt 1848 1464) +) +(connector + (pt 968 944) + (pt 968 1480) +) +(connector + (pt 952 960) + (pt 952 1496) +) +(connector + (pt 608 1512) + (pt 744 1512) +) +(connector + (pt 536 1528) + (pt 744 1528) + (bus) +) +(connector + (pt 1048 1512) + (pt 872 1512) + (bus) +) +(connector + (pt 1376 1448) + (pt 1848 1448) + (bus) +) +(connector + (pt 1376 1432) + (pt 1496 1432) + (bus) +) +(connector + (pt 1000 2112) + (pt 1048 2112) + (bus) +) +(connector + (pt 984 2128) + (pt 1048 2128) +) +(connector + (pt 968 2144) + (pt 1048 2144) +) +(connector + (pt 952 2160) + (pt 1048 2160) +) +(connector + (pt 1000 1928) + (pt 1048 1928) + (bus) +) +(connector + (pt 1856 1928) + (pt 1376 1928) +) +(connector + (pt 984 1944) + (pt 1048 1944) +) +(connector + (pt 1856 1944) + (pt 1376 1944) +) +(connector + (pt 968 1960) + (pt 1048 1960) +) +(connector + (pt 952 1976) + (pt 1048 1976) +) +(connector + (pt 608 1912) + (pt 1048 1912) +) +(connector + (pt 1000 1448) + (pt 1000 1928) + (bus) +) +(connector + (pt 1000 1928) + (pt 1000 2112) + (bus) +) +(connector + (pt 984 1464) + (pt 984 1944) +) +(connector + (pt 984 1944) + (pt 984 2128) +) +(connector + (pt 968 1480) + (pt 968 1960) +) +(connector + (pt 968 1960) + (pt 968 2144) +) +(connector + (pt 952 1496) + (pt 952 1976) +) +(connector + (pt 952 1976) + (pt 952 2160) +) +(connector + (pt 608 1432) + (pt 608 1512) +) +(connector + (pt 608 1512) + (pt 608 1912) +) (junction (pt 1000 288)) (junction (pt 984 304)) (junction (pt 968 320)) @@ -3220,12 +3181,7 @@ applicable agreement for further details. (junction (pt 984 928)) (junction (pt 968 944)) (junction (pt 952 960)) -(junction (pt 608 1432)) (junction (pt 608 368)) -(junction (pt 1000 1448)) -(junction (pt 984 1464)) -(junction (pt 968 1480)) -(junction (pt 952 1496)) (junction (pt 608 32)) (junction (pt 984 272)) (junction (pt 968 256)) @@ -3250,5 +3206,10 @@ applicable agreement for further details. (junction (pt 984 1944)) (junction (pt 968 1960)) (junction (pt 952 1976)) -(junction (pt 608 1512)) (junction (pt 608 -512)) +(junction (pt 608 1432)) +(junction (pt 1000 1448)) +(junction (pt 984 1464)) +(junction (pt 968 1480)) +(junction (pt 952 1496)) +(junction (pt 608 1512)) diff --git a/MainController/RAM9X8_HWPBusMaster.bsf b/MainController/RAM9X8_HWPBusMaster.bsf index 0ff6e4d..369530a 100644 --- a/MainController/RAM9X8_HWPBusMaster.bsf +++ b/MainController/RAM9X8_HWPBusMaster.bsf @@ -87,42 +87,42 @@ applicable agreement for further details. (line (pt 328 32)(pt 312 32)(line_width 3)) ) (parameter - "REG_ADDR_CMD_2_UPPER_BYTE" + "REG_ADDR_CMD_2_LOWER_BYTE" "46" "" (type "PARAMETER_SIGNED_DEC") ) (parameter - "REG_ADDR_CMD_2_LOWER_BYTE" + "REG_ADDR_CMD_2_UPPER_BYTE" "47" "" (type "PARAMETER_SIGNED_DEC") ) (parameter - "REG_ADDR_CMD_1_UPPER_BYTE" + "REG_ADDR_CMD_1_LOWER_BYTE" "48" "" (type "PARAMETER_SIGNED_DEC") ) (parameter - "REG_ADDR_CMD_1_LOWER_BYTE" + "REG_ADDR_CMD_1_UPPER_BYTE" "49" "" (type "PARAMETER_SIGNED_DEC") ) (parameter - "REG_ADDR_DATA_2_UPPER_BYTE" + "REG_ADDR_DATA_2_LOWER_BYTE" "50" "" (type "PARAMETER_SIGNED_DEC") ) (parameter - "REG_ADDR_DATA_2_LOWER_BYTE" + "REG_ADDR_DATA_2_UPPER_BYTE" "51" "" (type "PARAMETER_SIGNED_DEC") ) (parameter - "REG_ADDR_DATA_1_UPPER_BYTE" + "REG_ADDR_DATA_1_LOWER_BYTE" "52" "" (type "PARAMETER_SIGNED_DEC") ) (parameter - "REG_ADDR_DATA_1_LOWER_BYTE" + "REG_ADDR_DATA_1_UPPER_BYTE" "53" "" (type "PARAMETER_SIGNED_DEC") ) diff --git a/MainController/RAM9X8_HWPBusMaster.vhd b/MainController/RAM9X8_HWPBusMaster.vhd index 88116f1..039e39f 100644 --- a/MainController/RAM9X8_HWPBusMaster.vhd +++ b/MainController/RAM9X8_HWPBusMaster.vhd @@ -5,14 +5,14 @@ use ieee.std_logic_unsigned.all; entity RAM9X8_HWPBusMaster is generic( - REG_ADDR_CMD_2_UPPER_BYTE : integer := 46; - REG_ADDR_CMD_2_LOWER_BYTE : integer := 47; - REG_ADDR_CMD_1_UPPER_BYTE : integer := 48; - REG_ADDR_CMD_1_LOWER_BYTE : integer := 49; - REG_ADDR_DATA_2_UPPER_BYTE : integer := 50; - REG_ADDR_DATA_2_LOWER_BYTE : integer := 51; - REG_ADDR_DATA_1_UPPER_BYTE : integer := 52; - REG_ADDR_DATA_1_LOWER_BYTE : integer := 53; + REG_ADDR_CMD_2_LOWER_BYTE : integer := 46; + REG_ADDR_CMD_2_UPPER_BYTE : integer := 47; + REG_ADDR_CMD_1_LOWER_BYTE : integer := 48; + REG_ADDR_CMD_1_UPPER_BYTE : integer := 49; + REG_ADDR_DATA_2_LOWER_BYTE : integer := 50; + REG_ADDR_DATA_2_UPPER_BYTE : integer := 51; + REG_ADDR_DATA_1_LOWER_BYTE : integer := 52; + REG_ADDR_DATA_1_UPPER_BYTE : integer := 53; DATA_BUS_WIDTH : integer := 8; ADDRESS_BUS_WIDTH : integer := 9 diff --git a/MainController/RAM9X8_LedController.bsf b/MainController/RAM9X8_LedController.bsf index 4db0e15..cd17d7b 100644 --- a/MainController/RAM9X8_LedController.bsf +++ b/MainController/RAM9X8_LedController.bsf @@ -94,32 +94,32 @@ applicable agreement for further details. (line (pt 328 32)(pt 312 32)(line_width 3)) ) (parameter - "REG_ADDR_ACTIVE_DEVICE_UPPER_BYTE" + "REG_ADDR_ACTIVE_DEVICE_LOWER_BYTE" "38" "" (type "PARAMETER_SIGNED_DEC") ) (parameter - "REG_ADDR_ACTIVE_DEVICE_LOWER_BYTE" + "REG_ADDR_ACTIVE_DEVICE_UPPER_BYTE" "39" "" (type "PARAMETER_SIGNED_DEC") ) (parameter - "REG_ADDR_TEST_UPPER_BYTE" + "REG_ADDR_TEST_LOWER_BYTE" "40" "" (type "PARAMETER_SIGNED_DEC") ) (parameter - "REG_ADDR_TEST_LOWER_BYTE" + "REG_ADDR_TEST_UPPER_BYTE" "41" "" (type "PARAMETER_SIGNED_DEC") ) (parameter - "REG_ADDR_VERSION_UPPER_BYTE" + "REG_ADDR_VERSION_LOWER_BYTE" "42" "" (type "PARAMETER_SIGNED_DEC") ) (parameter - "REG_ADDR_VERSION_LOWER_BYTE" + "REG_ADDR_VERSION_UPPER_BYTE" "43" "" (type "PARAMETER_SIGNED_DEC") ) diff --git a/MainController/RAM9X8_LedController.vhd b/MainController/RAM9X8_LedController.vhd index 7bd46f3..796c1ca 100644 --- a/MainController/RAM9X8_LedController.vhd +++ b/MainController/RAM9X8_LedController.vhd @@ -5,12 +5,12 @@ use ieee.std_logic_unsigned.all; entity RAM9X8_LedController is generic( - REG_ADDR_ACTIVE_DEVICE_UPPER_BYTE : integer := 38; - REG_ADDR_ACTIVE_DEVICE_LOWER_BYTE : integer := 39; - REG_ADDR_TEST_UPPER_BYTE : integer := 40; - REG_ADDR_TEST_LOWER_BYTE : integer := 41; - REG_ADDR_VERSION_UPPER_BYTE : integer := 42; - REG_ADDR_VERSION_LOWER_BYTE : integer := 43; + REG_ADDR_ACTIVE_DEVICE_LOWER_BYTE : integer := 38; + REG_ADDR_ACTIVE_DEVICE_UPPER_BYTE : integer := 39; + REG_ADDR_TEST_LOWER_BYTE : integer := 40; + REG_ADDR_TEST_UPPER_BYTE : integer := 41; + REG_ADDR_VERSION_LOWER_BYTE : integer := 42; + REG_ADDR_VERSION_UPPER_BYTE : integer := 43; DATA_BUS_WIDTH : integer := 8; ADDRESS_BUS_WIDTH : integer := 9 @@ -61,7 +61,8 @@ begin if (ce = '0') then -- Если микросхема выбрана addr := conv_integer(address); if (addr = REG_ADDR_ACTIVE_DEVICE_UPPER_BYTE or addr = REG_ADDR_ACTIVE_DEVICE_LOWER_BYTE - or addr = REG_ADDR_TEST_UPPER_BYTE or addr = REG_ADDR_TEST_LOWER_BYTE) then + or addr = REG_ADDR_TEST_UPPER_BYTE or addr = REG_ADDR_TEST_LOWER_BYTE + or addr = REG_ADDR_VERSION_UPPER_BYTE or addr = REG_ADDR_VERSION_LOWER_BYTE) then if (oe = '0' and we = '1') then -- Если сигнал чтения активен, а записи нет case addr is when REG_ADDR_ACTIVE_DEVICE_UPPER_BYTE => diff --git a/MainController/RAM9X8_Loader.bsf b/MainController/RAM9X8_Loader.bsf index f9cde48..540094f 100644 --- a/MainController/RAM9X8_Loader.bsf +++ b/MainController/RAM9X8_Loader.bsf @@ -143,12 +143,12 @@ applicable agreement for further details. (line (pt 328 32)(pt 312 32)(line_width 3)) ) (parameter - "REG_ADDR_LOADER_UPPER_BYTE" + "REG_ADDR_LOADER_LOWER_BYTE" "44" "" (type "PARAMETER_SIGNED_DEC") ) (parameter - "REG_ADDR_LOADER_LOWER_BYTE" + "REG_ADDR_LOADER_UPPER_BYTE" "45" "" (type "PARAMETER_SIGNED_DEC") ) diff --git a/MainController/RAM9X8_Loader.vhd b/MainController/RAM9X8_Loader.vhd index 46bf898..288e8bb 100644 --- a/MainController/RAM9X8_Loader.vhd +++ b/MainController/RAM9X8_Loader.vhd @@ -5,8 +5,8 @@ use ieee.std_logic_unsigned.all; entity RAM9X8_Loader is generic( - REG_ADDR_LOADER_UPPER_BYTE : integer := 44; - REG_ADDR_LOADER_LOWER_BYTE : integer := 45; + REG_ADDR_LOADER_LOWER_BYTE : integer := 44; + REG_ADDR_LOADER_UPPER_BYTE : integer := 45; DATA_BUS_WIDTH : integer := 8; ADDRESS_BUS_WIDTH : integer := 9 diff --git a/MainController/RAM9X8_OpticalBusMaster.bsf b/MainController/RAM9X8_OpticalBusMaster.bsf index ae4f16d..4b04af0 100644 --- a/MainController/RAM9X8_OpticalBusMaster.bsf +++ b/MainController/RAM9X8_OpticalBusMaster.bsf @@ -80,92 +80,92 @@ applicable agreement for further details. (line (pt 328 32)(pt 312 32)(line_width 3)) ) (parameter - "REG_ADDR_CMD_UPPER_BYTE" + "REG_ADDR_CMD_LOWER_BYTE" "54" "" (type "PARAMETER_SIGNED_DEC") ) (parameter - "REG_ADDR_CMD_LOWER_BYTE" + "REG_ADDR_CMD_UPPER_BYTE" "55" "" (type "PARAMETER_SIGNED_DEC") ) (parameter - "REG_ADDR_WORD_8_UPPER_BYTE" + "REG_ADDR_WORD_8_LOWER_BYTE" "56" "" (type "PARAMETER_SIGNED_DEC") ) (parameter - "REG_ADDR_WORD_8_LOWER_BYTE" + "REG_ADDR_WORD_8_UPPER_BYTE" "57" "" (type "PARAMETER_SIGNED_DEC") ) (parameter - "REG_ADDR_WORD_7_UPPER_BYTE" + "REG_ADDR_WORD_7_LOWER_BYTE" "58" "" (type "PARAMETER_SIGNED_DEC") ) (parameter - "REG_ADDR_WORD_7_LOWER_BYTE" + "REG_ADDR_WORD_7_UPPER_BYTE" "59" "" (type "PARAMETER_SIGNED_DEC") ) (parameter - "REG_ADDR_WORD_6_UPPER_BYTE" + "REG_ADDR_WORD_6_LOWER_BYTE" "60" "" (type "PARAMETER_SIGNED_DEC") ) (parameter - "REG_ADDR_WORD_6_LOWER_BYTE" + "REG_ADDR_WORD_6_UPPER_BYTE" "61" "" (type "PARAMETER_SIGNED_DEC") ) (parameter - "REG_ADDR_WORD_5_UPPER_BYTE" + "REG_ADDR_WORD_5_LOWER_BYTE" "62" "" (type "PARAMETER_SIGNED_DEC") ) (parameter - "REG_ADDR_WORD_5_LOWER_BYTE" + "REG_ADDR_WORD_5_UPPER_BYTE" "63" "" (type "PARAMETER_SIGNED_DEC") ) (parameter - "REG_ADDR_WORD_4_UPPER_BYTE" + "REG_ADDR_WORD_4_LOWER_BYTE" "64" "" (type "PARAMETER_SIGNED_DEC") ) (parameter - "REG_ADDR_WORD_4_LOWER_BYTE" + "REG_ADDR_WORD_4_UPPER_BYTE" "65" "" (type "PARAMETER_SIGNED_DEC") ) (parameter - "REG_ADDR_WORD_3_UPPER_BYTE" + "REG_ADDR_WORD_3_LOWER_BYTE" "66" "" (type "PARAMETER_SIGNED_DEC") ) (parameter - "REG_ADDR_WORD_3_LOWER_BYTE" + "REG_ADDR_WORD_3_UPPER_BYTE" "67" "" (type "PARAMETER_SIGNED_DEC") ) (parameter - "REG_ADDR_WORD_2_UPPER_BYTE" + "REG_ADDR_WORD_2_LOWER_BYTE" "68" "" (type "PARAMETER_SIGNED_DEC") ) (parameter - "REG_ADDR_WORD_2_LOWER_BYTE" + "REG_ADDR_WORD_2_UPPER_BYTE" "69" "" (type "PARAMETER_SIGNED_DEC") ) (parameter - "REG_ADDR_WORD_1_UPPER_BYTE" + "REG_ADDR_WORD_1_LOWER_BYTE" "70" "" (type "PARAMETER_SIGNED_DEC") ) (parameter - "REG_ADDR_WORD_1_LOWER_BYTE" + "REG_ADDR_WORD_1_UPPER_BYTE" "71" "" (type "PARAMETER_SIGNED_DEC") ) diff --git a/MainController/RAM9X8_OpticalBusMaster.vhd b/MainController/RAM9X8_OpticalBusMaster.vhd index 3e50d5c..b9db5ca 100644 --- a/MainController/RAM9X8_OpticalBusMaster.vhd +++ b/MainController/RAM9X8_OpticalBusMaster.vhd @@ -5,24 +5,24 @@ use ieee.std_logic_unsigned.all; entity RAM9X8_OpticalBusMaster is generic( - REG_ADDR_CMD_UPPER_BYTE : integer := 54; - REG_ADDR_CMD_LOWER_BYTE : integer := 55; - REG_ADDR_WORD_8_UPPER_BYTE : integer := 56; - REG_ADDR_WORD_8_LOWER_BYTE : integer := 57; - REG_ADDR_WORD_7_UPPER_BYTE : integer := 58; - REG_ADDR_WORD_7_LOWER_BYTE : integer := 59; - REG_ADDR_WORD_6_UPPER_BYTE : integer := 60; - REG_ADDR_WORD_6_LOWER_BYTE : integer := 61; - REG_ADDR_WORD_5_UPPER_BYTE : integer := 62; - REG_ADDR_WORD_5_LOWER_BYTE : integer := 63; - REG_ADDR_WORD_4_UPPER_BYTE : integer := 64; - REG_ADDR_WORD_4_LOWER_BYTE : integer := 65; - REG_ADDR_WORD_3_UPPER_BYTE : integer := 66; - REG_ADDR_WORD_3_LOWER_BYTE : integer := 67; - REG_ADDR_WORD_2_UPPER_BYTE : integer := 68; - REG_ADDR_WORD_2_LOWER_BYTE : integer := 69; - REG_ADDR_WORD_1_UPPER_BYTE : integer := 70; - REG_ADDR_WORD_1_LOWER_BYTE : integer := 71; + REG_ADDR_CMD_LOWER_BYTE : integer := 54; + REG_ADDR_CMD_UPPER_BYTE : integer := 55; + REG_ADDR_WORD_8_LOWER_BYTE : integer := 56; + REG_ADDR_WORD_8_UPPER_BYTE : integer := 57; + REG_ADDR_WORD_7_LOWER_BYTE : integer := 58; + REG_ADDR_WORD_7_UPPER_BYTE : integer := 59; + REG_ADDR_WORD_6_LOWER_BYTE : integer := 60; + REG_ADDR_WORD_6_UPPER_BYTE : integer := 61; + REG_ADDR_WORD_5_LOWER_BYTE : integer := 62; + REG_ADDR_WORD_5_UPPER_BYTE : integer := 63; + REG_ADDR_WORD_4_LOWER_BYTE : integer := 64; + REG_ADDR_WORD_4_UPPER_BYTE : integer := 65; + REG_ADDR_WORD_3_LOWER_BYTE : integer := 66; + REG_ADDR_WORD_3_UPPER_BYTE : integer := 67; + REG_ADDR_WORD_2_LOWER_BYTE : integer := 68; + REG_ADDR_WORD_2_UPPER_BYTE : integer := 69; + REG_ADDR_WORD_1_LOWER_BYTE : integer := 70; + REG_ADDR_WORD_1_UPPER_BYTE : integer := 71; DATA_BUS_WIDTH : integer := 8; ADDRESS_BUS_WIDTH : integer := 9 @@ -159,7 +159,7 @@ begin when others => data <= (others => 'Z'); -- Запретить запись на шину end case; - if (addr = REG_ADDR_WORD_1_LOWER_BYTE) then + if (addr = REG_ADDR_WORD_1_UPPER_BYTE) then start <= '1'; else start <= '0'; diff --git a/MainController/RAM9X8_PWM.bsf b/MainController/RAM9X8_PWM.bsf index 5d16a5d..eb9b568 100644 --- a/MainController/RAM9X8_PWM.bsf +++ b/MainController/RAM9X8_PWM.bsf @@ -94,122 +94,122 @@ applicable agreement for further details. (line (pt 328 32)(pt 312 32)(line_width 3)) ) (parameter - "REG_ADDR_MODE_CONTROL_UPPER_BYTE" + "REG_ADDR_MODE_CONTROL_LOWER_BYTE" "14" "" (type "PARAMETER_SIGNED_DEC") ) (parameter - "REG_ADDR_MODE_CONTROL_LOWER_BYTE" + "REG_ADDR_MODE_CONTROL_UPPER_BYTE" "15" "" (type "PARAMETER_SIGNED_DEC") ) (parameter - "REG_ADDR_MASK_2_UPPER_BYTE" + "REG_ADDR_MASK_2_LOWER_BYTE" "16" "" (type "PARAMETER_SIGNED_DEC") ) (parameter - "REG_ADDR_MASK_2_LOWER_BYTE" + "REG_ADDR_MASK_2_UPPER_BYTE" "17" "" (type "PARAMETER_SIGNED_DEC") ) (parameter - "REG_ADDR_MASK_1_UPPER_BYTE" + "REG_ADDR_MASK_1_LOWER_BYTE" "18" "" (type "PARAMETER_SIGNED_DEC") ) (parameter - "REG_ADDR_MASK_1_LOWER_BYTE" + "REG_ADDR_MASK_1_UPPER_BYTE" "19" "" (type "PARAMETER_SIGNED_DEC") ) (parameter - "REG_ADDR_DIRECT_CONTROL_2_UPPER_BYTE" + "REG_ADDR_DIRECT_CONTROL_2_LOWER_BYTE" "20" "" (type "PARAMETER_SIGNED_DEC") ) (parameter - "REG_ADDR_DIRECT_CONTROL_2_LOWER_BYTE" + "REG_ADDR_DIRECT_CONTROL_2_UPPER_BYTE" "21" "" (type "PARAMETER_SIGNED_DEC") ) (parameter - "REG_ADDR_DIRECT_CONTROL_1_UPPER_BYTE" + "REG_ADDR_DIRECT_CONTROL_1_LOWER_BYTE" "22" "" (type "PARAMETER_SIGNED_DEC") ) (parameter - "REG_ADDR_DIRECT_CONTROL_1_LOWER_BYTE" + "REG_ADDR_DIRECT_CONTROL_1_UPPER_BYTE" "23" "" (type "PARAMETER_SIGNED_DEC") ) (parameter - "REG_ADDR_PERIOD_UPPER_BYTE" + "REG_ADDR_PERIOD_LOWER_BYTE" "24" "" (type "PARAMETER_SIGNED_DEC") ) (parameter - "REG_ADDR_PERIOD_LOWER_BYTE" + "REG_ADDR_PERIOD_UPPER_BYTE" "25" "" (type "PARAMETER_SIGNED_DEC") ) (parameter - "REG_ADDR_DIRECTION_2_UPPER_BYTE" + "REG_ADDR_DIRECTION_2_LOWER_BYTE" "26" "" (type "PARAMETER_SIGNED_DEC") ) (parameter - "REG_ADDR_DIRECTION_2_LOWER_BYTE" + "REG_ADDR_DIRECTION_2_UPPER_BYTE" "27" "" (type "PARAMETER_SIGNED_DEC") ) (parameter - "REG_ADDR_DIRECTION_1_UPPER_BYTE" + "REG_ADDR_DIRECTION_1_LOWER_BYTE" "28" "" (type "PARAMETER_SIGNED_DEC") ) (parameter - "REG_ADDR_DIRECTION_1_LOWER_BYTE" + "REG_ADDR_DIRECTION_1_UPPER_BYTE" "29" "" (type "PARAMETER_SIGNED_DEC") ) (parameter - "REG_ADDR_CHANNEL_UPPER_BYTE" + "REG_ADDR_CHANNEL_LOWER_BYTE" "30" "" (type "PARAMETER_SIGNED_DEC") ) (parameter - "REG_ADDR_CHANNEL_LOWER_BYTE" + "REG_ADDR_CHANNEL_UPPER_BYTE" "31" "" (type "PARAMETER_SIGNED_DEC") ) (parameter - "REG_ADDR_TIMING_UPPER_BYTE" + "REG_ADDR_TIMING_LOWER_BYTE" "32" "" (type "PARAMETER_SIGNED_DEC") ) (parameter - "REG_ADDR_TIMING_LOWER_BYTE" + "REG_ADDR_TIMING_UPPER_BYTE" "33" "" (type "PARAMETER_SIGNED_DEC") ) (parameter - "REG_ADDR_CMD_UPPER_BYTE" + "REG_ADDR_CMD_LOWER_BYTE" "34" "" (type "PARAMETER_SIGNED_DEC") ) (parameter - "REG_ADDR_CMD_LOWER_BYTE" + "REG_ADDR_CMD_UPPER_BYTE" "35" "" (type "PARAMETER_SIGNED_DEC") ) (parameter - "REG_ADDR_CONTROL_UPPER_BYTE" + "REG_ADDR_CONTROL_LOWER_BYTE" "36" "" (type "PARAMETER_SIGNED_DEC") ) (parameter - "REG_ADDR_CONTROL_LOWER_BYTE" + "REG_ADDR_CONTROL_UPPER_BYTE" "37" "" (type "PARAMETER_SIGNED_DEC") ) diff --git a/MainController/RAM9X8_PWM.vhd b/MainController/RAM9X8_PWM.vhd index 48d626e..b32b648 100644 --- a/MainController/RAM9X8_PWM.vhd +++ b/MainController/RAM9X8_PWM.vhd @@ -5,30 +5,30 @@ use ieee.std_logic_unsigned.all; entity RAM9X8_PWM is generic( - REG_ADDR_MODE_CONTROL_UPPER_BYTE : integer := 14; - REG_ADDR_MODE_CONTROL_LOWER_BYTE : integer := 15; - REG_ADDR_MASK_2_UPPER_BYTE : integer := 16; - REG_ADDR_MASK_2_LOWER_BYTE : integer := 17; - REG_ADDR_MASK_1_UPPER_BYTE : integer := 18; - REG_ADDR_MASK_1_LOWER_BYTE : integer := 19; - REG_ADDR_DIRECT_CONTROL_2_UPPER_BYTE : integer := 20; - REG_ADDR_DIRECT_CONTROL_2_LOWER_BYTE : integer := 21; - REG_ADDR_DIRECT_CONTROL_1_UPPER_BYTE : integer := 22; - REG_ADDR_DIRECT_CONTROL_1_LOWER_BYTE : integer := 23; - REG_ADDR_PERIOD_UPPER_BYTE : integer := 24; - REG_ADDR_PERIOD_LOWER_BYTE : integer := 25; - REG_ADDR_DIRECTION_2_UPPER_BYTE : integer := 26; - REG_ADDR_DIRECTION_2_LOWER_BYTE : integer := 27; - REG_ADDR_DIRECTION_1_UPPER_BYTE : integer := 28; - REG_ADDR_DIRECTION_1_LOWER_BYTE : integer := 29; - REG_ADDR_CHANNEL_UPPER_BYTE : integer := 30; - REG_ADDR_CHANNEL_LOWER_BYTE : integer := 31; - REG_ADDR_TIMING_UPPER_BYTE : integer := 32; - REG_ADDR_TIMING_LOWER_BYTE : integer := 33; - REG_ADDR_CMD_UPPER_BYTE : integer := 34; - REG_ADDR_CMD_LOWER_BYTE : integer := 35; - REG_ADDR_CONTROL_UPPER_BYTE : integer := 36; - REG_ADDR_CONTROL_LOWER_BYTE : integer := 37; + REG_ADDR_MODE_CONTROL_LOWER_BYTE : integer := 14; + REG_ADDR_MODE_CONTROL_UPPER_BYTE : integer := 15; + REG_ADDR_MASK_2_LOWER_BYTE : integer := 16; + REG_ADDR_MASK_2_UPPER_BYTE : integer := 17; + REG_ADDR_MASK_1_LOWER_BYTE : integer := 18; + REG_ADDR_MASK_1_UPPER_BYTE : integer := 19; + REG_ADDR_DIRECT_CONTROL_2_LOWER_BYTE : integer := 20; + REG_ADDR_DIRECT_CONTROL_2_UPPER_BYTE : integer := 21; + REG_ADDR_DIRECT_CONTROL_1_LOWER_BYTE : integer := 22; + REG_ADDR_DIRECT_CONTROL_1_UPPER_BYTE : integer := 23; + REG_ADDR_PERIOD_LOWER_BYTE : integer := 24; + REG_ADDR_PERIOD_UPPER_BYTE : integer := 25; + REG_ADDR_DIRECTION_2_LOWER_BYTE : integer := 26; + REG_ADDR_DIRECTION_2_UPPER_BYTE : integer := 27; + REG_ADDR_DIRECTION_1_LOWER_BYTE : integer := 28; + REG_ADDR_DIRECTION_1_UPPER_BYTE : integer := 29; + REG_ADDR_CHANNEL_LOWER_BYTE : integer := 30; + REG_ADDR_CHANNEL_UPPER_BYTE : integer := 31; + REG_ADDR_TIMING_LOWER_BYTE : integer := 32; + REG_ADDR_TIMING_UPPER_BYTE : integer := 33; + REG_ADDR_CMD_LOWER_BYTE : integer := 34; + REG_ADDR_CMD_UPPER_BYTE : integer := 35; + REG_ADDR_CONTROL_LOWER_BYTE : integer := 36; + REG_ADDR_CONTROL_UPPER_BYTE : integer := 37; DATA_BUS_WIDTH : integer := 8; ADDRESS_BUS_WIDTH : integer := 9 @@ -137,14 +137,14 @@ begin when REG_ADDR_CMD_LOWER_BYTE => data <= cmdBuf(7 downto 0); when REG_ADDR_CONTROL_UPPER_BYTE => - data <= controlBuf(15 downto 8); + data <= controlBuf(15 downto 8); when REG_ADDR_CONTROL_LOWER_BYTE => - data <= controlBuf(7 downto 0); + data <= controlBuf(7 downto 0); when others => data <= (others => 'Z'); -- Запретить запись на шину end case; - if addr /= REG_ADDR_CONTROL_UPPER_BYTE then + if addr /= REG_ADDR_CONTROL_LOWER_BYTE then enableWriteControlBuf <= '1'; else enableWriteControlBuf <= '0'; diff --git a/MainController/RAM9X8_ParallelBusMaster.bsf b/MainController/RAM9X8_ParallelBusMaster.bsf index 8d0606d..06cbcba 100644 --- a/MainController/RAM9X8_ParallelBusMaster.bsf +++ b/MainController/RAM9X8_ParallelBusMaster.bsf @@ -101,42 +101,42 @@ applicable agreement for further details. (line (pt 328 96)(pt 312 96)(line_width 3)) ) (parameter - "REG_ADDR_FIRST_FREE_UPPER_BYTE" + "REG_ADDR_FIRST_FREE_LOWER_BYTE" "6" "" (type "PARAMETER_SIGNED_DEC") ) (parameter - "REG_ADDR_FIRST_FREE_LOWER_BYTE" + "REG_ADDR_FIRST_FREE_UPPER_BYTE" "7" "" (type "PARAMETER_SIGNED_DEC") ) (parameter - "REG_ADDR_CMD_UPPER_BYTE" + "REG_ADDR_CMD_LOWER_BYTE" "8" "" (type "PARAMETER_SIGNED_DEC") ) (parameter - "REG_ADDR_CMD_LOWER_BYTE" + "REG_ADDR_CMD_UPPER_BYTE" "9" "" (type "PARAMETER_SIGNED_DEC") ) (parameter - "REG_ADDR_FILL_ADDRESS_SPACE_UPPER_BYTE" + "REG_ADDR_FILL_ADDRESS_SPACE_LOWER_BYTE" "10" "" (type "PARAMETER_SIGNED_DEC") ) (parameter - "REG_ADDR_FILL_ADDRESS_SPACE_LOWER_BYTE" + "REG_ADDR_FILL_ADDRESS_SPACE_UPPER_BYTE" "11" "" (type "PARAMETER_SIGNED_DEC") ) (parameter - "REG_ADDR_CONTROL_UPPER_BYTE" + "REG_ADDR_CONTROL_LOWER_BYTE" "12" "" (type "PARAMETER_SIGNED_DEC") ) (parameter - "REG_ADDR_CONTROL_LOWER_BYTE" + "REG_ADDR_CONTROL_UPPER_BYTE" "13" "" (type "PARAMETER_SIGNED_DEC") ) diff --git a/MainController/RAM9X8_ParallelBusMaster.vhd b/MainController/RAM9X8_ParallelBusMaster.vhd index 955198d..617febf 100644 --- a/MainController/RAM9X8_ParallelBusMaster.vhd +++ b/MainController/RAM9X8_ParallelBusMaster.vhd @@ -5,14 +5,14 @@ use ieee.std_logic_unsigned.all; entity RAM9X8_ParallelBusMaster is generic( - REG_ADDR_FIRST_FREE_UPPER_BYTE : integer := 6; - REG_ADDR_FIRST_FREE_LOWER_BYTE : integer := 7; - REG_ADDR_CMD_UPPER_BYTE : integer := 8; - REG_ADDR_CMD_LOWER_BYTE : integer := 9; - REG_ADDR_FILL_ADDRESS_SPACE_UPPER_BYTE : integer := 10; - REG_ADDR_FILL_ADDRESS_SPACE_LOWER_BYTE : integer := 11; - REG_ADDR_CONTROL_UPPER_BYTE : integer := 12; - REG_ADDR_CONTROL_LOWER_BYTE : integer := 13; + REG_ADDR_FIRST_FREE_LOWER_BYTE : integer := 6; + REG_ADDR_FIRST_FREE_UPPER_BYTE : integer := 7; + REG_ADDR_CMD_LOWER_BYTE : integer := 8; + REG_ADDR_CMD_UPPER_BYTE : integer := 9; + REG_ADDR_FILL_ADDRESS_SPACE_LOWER_BYTE : integer := 10; + REG_ADDR_FILL_ADDRESS_SPACE_UPPER_BYTE : integer := 11; + REG_ADDR_CONTROL_LOWER_BYTE : integer := 12; + REG_ADDR_CONTROL_UPPER_BYTE : integer := 13; ARRAY_LENGTH : integer := 256; DATA_BUS_WIDTH : integer := 8; diff --git a/MainController/RAM9X8_SerialBusMaster.bsf b/MainController/RAM9X8_SerialBusMaster.bsf index 1ef5439..65ed69f 100644 --- a/MainController/RAM9X8_SerialBusMaster.bsf +++ b/MainController/RAM9X8_SerialBusMaster.bsf @@ -87,32 +87,32 @@ applicable agreement for further details. (line (pt 328 32)(pt 312 32)(line_width 3)) ) (parameter - "REG_ADDR_DATA_UPPER_BYTE" + "REG_ADDR_DATA_LOWER_BYTE" "0" "" (type "PARAMETER_SIGNED_DEC") ) (parameter - "REG_ADDR_DATA_LOWER_BYTE" + "REG_ADDR_DATA_UPPER_BYTE" "1" "" (type "PARAMETER_SIGNED_DEC") ) (parameter - "REG_ADDR_CMD_UPPER_BYTE" + "REG_ADDR_CMD_LOWER_BYTE" "2" "" (type "PARAMETER_SIGNED_DEC") ) (parameter - "REG_ADDR_CMD_LOWER_BYTE" + "REG_ADDR_CMD_UPPER_BYTE" "3" "" (type "PARAMETER_SIGNED_DEC") ) (parameter - "REG_ADDR_CONTROL_UPPER_BYTE" + "REG_ADDR_CONTROL_LOWER_BYTE" "4" "" (type "PARAMETER_SIGNED_DEC") ) (parameter - "REG_ADDR_CONTROL_LOWER_BYTE" + "REG_ADDR_CONTROL_UPPER_BYTE" "5" "" (type "PARAMETER_SIGNED_DEC") ) diff --git a/MainController/RAM9X8_SerialBusMaster.vhd b/MainController/RAM9X8_SerialBusMaster.vhd index 18b1f10..a1465ab 100644 --- a/MainController/RAM9X8_SerialBusMaster.vhd +++ b/MainController/RAM9X8_SerialBusMaster.vhd @@ -4,13 +4,13 @@ use ieee.std_logic_arith.all; use ieee.std_logic_unsigned.all; entity RAM9X8_SerialBusMaster is - generic( - REG_ADDR_DATA_UPPER_BYTE : integer := 0; - REG_ADDR_DATA_LOWER_BYTE : integer := 1; - REG_ADDR_CMD_UPPER_BYTE : integer := 2; - REG_ADDR_CMD_LOWER_BYTE : integer := 3; - REG_ADDR_CONTROL_UPPER_BYTE : integer := 4; - REG_ADDR_CONTROL_LOWER_BYTE : integer := 5; + generic( + REG_ADDR_DATA_LOWER_BYTE : integer := 0; + REG_ADDR_DATA_UPPER_BYTE : integer := 1; + REG_ADDR_CMD_LOWER_BYTE : integer := 2; + REG_ADDR_CMD_UPPER_BYTE : integer := 3; + REG_ADDR_CONTROL_LOWER_BYTE : integer := 4; + REG_ADDR_CONTROL_UPPER_BYTE : integer := 5; DATA_BUS_WIDTH : integer := 8; ADDRESS_BUS_WIDTH : integer := 9 @@ -77,6 +77,7 @@ begin data <= cmdBuf(7 downto 0); when REG_ADDR_CONTROL_UPPER_BYTE => data <= controlBuf(15 downto 8); + start <= '0'; when REG_ADDR_CONTROL_LOWER_BYTE => data <= controlBuf(7 downto 0); when others => @@ -89,10 +90,10 @@ begin when REG_ADDR_DATA_LOWER_BYTE => dataBufIn(7 downto 0) <= data; when REG_ADDR_CMD_UPPER_BYTE => - cmdBuf(15 downto 8) <= data; - when REG_ADDR_CMD_LOWER_BYTE => - cmdBuf(7 downto 0) <= data; + cmdBuf(15 downto 8) <= data; start <= '1'; + when REG_ADDR_CMD_LOWER_BYTE => + cmdBuf(7 downto 0) <= data; when others => data <= (others => 'Z'); -- Запретить запись на шину end case;