Вернул для основного CLK внутри ПЛИС значение 25МГц с выхода блока PLL. Частота работы с шиной 400 МГц - она не менялась.

This commit is contained in:
sokolovstanislav 2025-02-11 11:30:12 +03:00
parent 5de2386bb5
commit 69d703b262
5 changed files with 112 additions and 112 deletions

View File

@ -70,7 +70,7 @@ applicable agreement for further details.
(text "Ph (dg)" (rect 106 93 241 197)(font "Arial" ))
(text "DC (%)" (rect 140 93 310 197)(font "Arial" ))
(text "c0" (rect 62 107 132 225)(font "Arial" ))
(text "4/1" (rect 85 107 180 225)(font "Arial" ))
(text "1/1" (rect 85 107 180 225)(font "Arial" ))
(text "0.00" (rect 112 107 240 225)(font "Arial" ))
(text "50.00" (rect 144 107 309 225)(font "Arial" ))
(text "c1" (rect 62 121 131 253)(font "Arial" ))

View File

@ -158,7 +158,7 @@ BEGIN
bandwidth_type => "AUTO",
clk0_divide_by => 1,
clk0_duty_cycle => 50,
clk0_multiply_by => 4,
clk0_multiply_by => 1,
clk0_phase_shift => "0",
clk1_divide_by => 1,
clk1_duty_cycle => 50,
@ -255,7 +255,7 @@ END SYN;
-- Retrieval info: PRIVATE: DUTY_CYCLE0 STRING "50.00000000"
-- Retrieval info: PRIVATE: DUTY_CYCLE1 STRING "50.00000000"
-- Retrieval info: PRIVATE: DUTY_CYCLE2 STRING "50.00000000"
-- Retrieval info: PRIVATE: EFF_OUTPUT_FREQ_VALUE0 STRING "100.000000"
-- Retrieval info: PRIVATE: EFF_OUTPUT_FREQ_VALUE0 STRING "25.000000"
-- Retrieval info: PRIVATE: EFF_OUTPUT_FREQ_VALUE1 STRING "200.000000"
-- Retrieval info: PRIVATE: EFF_OUTPUT_FREQ_VALUE2 STRING "400.000000"
-- Retrieval info: PRIVATE: EXPLICIT_SWITCHOVER_COUNTER STRING "0"
@ -284,7 +284,7 @@ END SYN;
-- Retrieval info: PRIVATE: MIRROR_CLK0 STRING "0"
-- Retrieval info: PRIVATE: MIRROR_CLK1 STRING "0"
-- Retrieval info: PRIVATE: MIRROR_CLK2 STRING "0"
-- Retrieval info: PRIVATE: MULT_FACTOR0 NUMERIC "4"
-- Retrieval info: PRIVATE: MULT_FACTOR0 NUMERIC "1"
-- Retrieval info: PRIVATE: MULT_FACTOR1 NUMERIC "8"
-- Retrieval info: PRIVATE: MULT_FACTOR2 NUMERIC "16"
-- Retrieval info: PRIVATE: NORMAL_MODE_RADIO STRING "1"
@ -345,7 +345,7 @@ END SYN;
-- Retrieval info: CONSTANT: BANDWIDTH_TYPE STRING "AUTO"
-- Retrieval info: CONSTANT: CLK0_DIVIDE_BY NUMERIC "1"
-- Retrieval info: CONSTANT: CLK0_DUTY_CYCLE NUMERIC "50"
-- Retrieval info: CONSTANT: CLK0_MULTIPLY_BY NUMERIC "4"
-- Retrieval info: CONSTANT: CLK0_MULTIPLY_BY NUMERIC "1"
-- Retrieval info: CONSTANT: CLK0_PHASE_SHIFT STRING "0"
-- Retrieval info: CONSTANT: CLK1_DIVIDE_BY NUMERIC "1"
-- Retrieval info: CONSTANT: CLK1_DUTY_CYCLE NUMERIC "50"

View File

@ -1248,92 +1248,6 @@ applicable agreement for further details.
(rectangle (rect 16 16 80 80))
)
)
(symbol
(rect 664 -408 928 -224)
(text "AlteraPLL" (rect 104 0 171 16)(font "Arial" (font_size 10)))
(text "inst" (rect 8 168 25 180)(font "Arial" ))
(port
(pt 0 64)
(input)
(text "inclk0" (rect 0 0 31 14)(font "Arial" (font_size 8)))
(text "inclk0" (rect 4 50 35 64)(font "Arial" (font_size 8)))
(line (pt 0 64)(pt 48 64))
)
(port
(pt 0 80)
(input)
(text "areset" (rect 0 0 36 14)(font "Arial" (font_size 8)))
(text "areset" (rect 4 66 40 80)(font "Arial" (font_size 8)))
(line (pt 0 80)(pt 48 80))
)
(port
(pt 264 64)
(output)
(text "c0" (rect 0 0 14 14)(font "Arial" (font_size 8)))
(text "c0" (rect 248 50 262 64)(font "Arial" (font_size 8)))
)
(port
(pt 264 80)
(output)
(text "c1" (rect 0 0 14 14)(font "Arial" (font_size 8)))
(text "c1" (rect 248 66 262 80)(font "Arial" (font_size 8)))
)
(port
(pt 264 96)
(output)
(text "c2" (rect 0 0 14 14)(font "Arial" (font_size 8)))
(text "c2" (rect 248 82 262 96)(font "Arial" (font_size 8)))
)
(port
(pt 264 112)
(output)
(text "locked" (rect 0 0 36 14)(font "Arial" (font_size 8)))
(text "locked" (rect 229 98 265 112)(font "Arial" (font_size 8)))
)
(drawing
(text "Cyclone III" (rect 202 168 257 180)(font "Arial" ))
(text "inclk0 frequency: 25.000 MHz" (rect 58 59 205 71)(font "Arial" ))
(text "Operation Mode: Normal" (rect 58 72 177 84)(font "Arial" ))
(text "Clk " (rect 59 93 79 105)(font "Arial" ))
(text "Ratio" (rect 80 93 105 105)(font "Arial" ))
(text "Ph (dg)" (rect 106 93 141 105)(font "Arial" ))
(text "DC (%)" (rect 140 93 176 105)(font "Arial" ))
(text "c0" (rect 62 107 73 119)(font "Arial" ))
(text "4/1" (rect 85 107 100 119)(font "Arial" ))
(text "0.00" (rect 112 107 133 119)(font "Arial" ))
(text "50.00" (rect 144 107 171 119)(font "Arial" ))
(text "c1" (rect 62 121 73 133)(font "Arial" ))
(text "8/1" (rect 85 121 100 133)(font "Arial" ))
(text "0.00" (rect 112 121 133 133)(font "Arial" ))
(text "50.00" (rect 144 121 171 133)(font "Arial" ))
(text "c2" (rect 62 135 73 147)(font "Arial" ))
(text "16/1" (rect 82 135 103 147)(font "Arial" ))
(text "0.00" (rect 112 135 133 147)(font "Arial" ))
(text "50.00" (rect 144 135 171 147)(font "Arial" ))
(line (pt 0 0)(pt 265 0))
(line (pt 265 0)(pt 265 185))
(line (pt 0 185)(pt 265 185))
(line (pt 0 0)(pt 0 185))
(line (pt 56 91)(pt 172 91))
(line (pt 56 104)(pt 172 104))
(line (pt 56 118)(pt 172 118))
(line (pt 56 132)(pt 172 132))
(line (pt 56 146)(pt 172 146))
(line (pt 56 91)(pt 56 146))
(line (pt 77 91)(pt 77 146)(line_width 3))
(line (pt 103 91)(pt 103 146)(line_width 3))
(line (pt 137 91)(pt 137 146)(line_width 3))
(line (pt 171 91)(pt 171 146))
(line (pt 48 48)(pt 215 48))
(line (pt 215 48)(pt 215 167))
(line (pt 48 167)(pt 215 167))
(line (pt 48 48)(pt 48 167))
(line (pt 263 64)(pt 215 64))
(line (pt 263 80)(pt 215 80))
(line (pt 263 96)(pt 215 96))
(line (pt 263 112)(pt 215 112))
)
)
(symbol
(rect 1048 544 1376 688)
(text "RAM9X8_SerialBusMaster" (rect 5 0 131 12)(font "Arial" ))
@ -2126,6 +2040,92 @@ applicable agreement for further details.
)
(annotation_block (parameter)(rect 1032 1376 1272 1432))
)
(symbol
(rect 664 -408 928 -224)
(text "AlteraPLL" (rect 104 0 171 16)(font "Arial" (font_size 10)))
(text "inst" (rect 8 168 25 180)(font "Arial" ))
(port
(pt 0 64)
(input)
(text "inclk0" (rect 0 0 31 14)(font "Arial" (font_size 8)))
(text "inclk0" (rect 4 50 35 64)(font "Arial" (font_size 8)))
(line (pt 0 64)(pt 48 64))
)
(port
(pt 0 80)
(input)
(text "areset" (rect 0 0 36 14)(font "Arial" (font_size 8)))
(text "areset" (rect 4 66 40 80)(font "Arial" (font_size 8)))
(line (pt 0 80)(pt 48 80))
)
(port
(pt 264 64)
(output)
(text "c0" (rect 0 0 14 14)(font "Arial" (font_size 8)))
(text "c0" (rect 248 50 262 64)(font "Arial" (font_size 8)))
)
(port
(pt 264 80)
(output)
(text "c1" (rect 0 0 14 14)(font "Arial" (font_size 8)))
(text "c1" (rect 248 66 262 80)(font "Arial" (font_size 8)))
)
(port
(pt 264 96)
(output)
(text "c2" (rect 0 0 14 14)(font "Arial" (font_size 8)))
(text "c2" (rect 248 82 262 96)(font "Arial" (font_size 8)))
)
(port
(pt 264 112)
(output)
(text "locked" (rect 0 0 36 14)(font "Arial" (font_size 8)))
(text "locked" (rect 229 98 265 112)(font "Arial" (font_size 8)))
)
(drawing
(text "Cyclone III" (rect 202 168 257 180)(font "Arial" ))
(text "inclk0 frequency: 25.000 MHz" (rect 58 59 205 71)(font "Arial" ))
(text "Operation Mode: Normal" (rect 58 72 177 84)(font "Arial" ))
(text "Clk " (rect 59 93 79 105)(font "Arial" ))
(text "Ratio" (rect 80 93 105 105)(font "Arial" ))
(text "Ph (dg)" (rect 106 93 141 105)(font "Arial" ))
(text "DC (%)" (rect 140 93 176 105)(font "Arial" ))
(text "c0" (rect 62 107 73 119)(font "Arial" ))
(text "1/1" (rect 85 107 100 119)(font "Arial" ))
(text "0.00" (rect 112 107 133 119)(font "Arial" ))
(text "50.00" (rect 144 107 171 119)(font "Arial" ))
(text "c1" (rect 62 121 73 133)(font "Arial" ))
(text "8/1" (rect 85 121 100 133)(font "Arial" ))
(text "0.00" (rect 112 121 133 133)(font "Arial" ))
(text "50.00" (rect 144 121 171 133)(font "Arial" ))
(text "c2" (rect 62 135 73 147)(font "Arial" ))
(text "16/1" (rect 82 135 103 147)(font "Arial" ))
(text "0.00" (rect 112 135 133 147)(font "Arial" ))
(text "50.00" (rect 144 135 171 147)(font "Arial" ))
(line (pt 0 0)(pt 265 0))
(line (pt 265 0)(pt 265 185))
(line (pt 0 185)(pt 265 185))
(line (pt 0 0)(pt 0 185))
(line (pt 56 91)(pt 172 91))
(line (pt 56 104)(pt 172 104))
(line (pt 56 118)(pt 172 118))
(line (pt 56 132)(pt 172 132))
(line (pt 56 146)(pt 172 146))
(line (pt 56 91)(pt 56 146))
(line (pt 77 91)(pt 77 146)(line_width 3))
(line (pt 103 91)(pt 103 146)(line_width 3))
(line (pt 137 91)(pt 137 146)(line_width 3))
(line (pt 171 91)(pt 171 146))
(line (pt 48 48)(pt 215 48))
(line (pt 215 48)(pt 215 167))
(line (pt 48 167)(pt 215 167))
(line (pt 48 48)(pt 48 167))
(line (pt 263 64)(pt 215 64))
(line (pt 263 80)(pt 215 80))
(line (pt 263 96)(pt 215 96))
(line (pt 263 112)(pt 215 112))
)
)
(connector
(pt 1592 624)
(pt 1568 624)

View File

@ -44,7 +44,7 @@ signal PB_CONTROL_1_UPPER : integer := PB_BASE_ADDRESS + 9;
type mem is array (ARRAY_LENGTH - 1 downto 0) of std_logic_vector(7 downto 0);
signal memoryAddress : mem;
signal memoryData : mem;
signal memoryData : mem;
signal firstFreeBuf : std_logic_vector(15 downto 0) := (others => '0');
signal cmdBuf : std_logic_vector(15 downto 0) := (others => '0');
@ -69,23 +69,22 @@ signal currentMemoryCell : integer range 0 to ARRAY_LENGTH - 1 := 0;
begin
memoryAddress(0) <= x"30";
memoryAddress(1) <= x"30";
memoryAddress(2) <= x"31";
memoryAddress(3) <= x"31";
memoryAddress(4) <= x"32";
memoryAddress(5) <= x"32";
memoryAddress(6) <= x"33";
memoryAddress(7) <= x"33";
memoryAddress(8) <= x"34";
memoryAddress(9) <= x"34";
memoryAddress(10) <= x"35";
memoryAddress(11) <= x"35";
memoryAddress(12) <= x"36";
memoryAddress(13) <= x"36";
memoryAddress(14) <= x"37";
memoryAddress(15) <= x"37";
--memoryAddress(0) <= x"30";
--memoryAddress(1) <= x"30";
--memoryAddress(2) <= x"31";
--memoryAddress(3) <= x"31";
--memoryAddress(4) <= x"32";
--memoryAddress(5) <= x"32";
--memoryAddress(6) <= x"33";
--memoryAddress(7) <= x"33";
--memoryAddress(8) <= x"34";
--memoryAddress(9) <= x"34";
--memoryAddress(10) <= x"35";
--memoryAddress(11) <= x"35";
--memoryAddress(12) <= x"36";
--memoryAddress(13) <= x"36";
--memoryAddress(14) <= x"37";
--memoryAddress(15) <= x"37";
process (we, oe, ce)
variable addr : integer range 0 to 2**ADDRESS_BUS_WIDTH - 1 := 0;
@ -135,8 +134,8 @@ memoryAddress(15) <= x"37";
fasBuf(15 downto 8) <= data;
when PB_FILL_ADDRESS_SPACE_LOWER =>
fasBuf(7 downto 0) <= data;
--position := conv_integer(data);
--memoryAddress(position) <= fasBuf(15 downto 8);
position := conv_integer(data);
memoryAddress(position) <= fasBuf(15 downto 8);
when others =>
data <= (others => 'Z'); -- Запретить запись на шину
end case;
@ -259,7 +258,8 @@ memoryAddress(15) <= x"37";
memoryData(position) <= dataTemp(15 downto 8);
memoryData(position + 1) <= dataTemp(7 downto 0);
controlBuf <= memoryAddress(position);
if position + 1 < conv_integer(cmdBuf(7 downto 0)) then
-- if position + 1 < conv_integer(cmdBuf(7 downto 0)) then
if position + 1 < 15 then
position := position + 2;
else
position := 0;

Binary file not shown.