Заменили все DigitalFilterXXX на один расширяемый с помощью параметров модуль
This commit is contained in:
parent
5fba6ce8b4
commit
4b3a28ebbc
56
MainController/DigitalFilter.bsf
Normal file
56
MainController/DigitalFilter.bsf
Normal file
@ -0,0 +1,56 @@
|
||||
/*
|
||||
WARNING: Do NOT edit the input and output ports in this file in a text
|
||||
editor if you plan to continue editing the block that represents it in
|
||||
the Block Editor! File corruption is VERY likely to occur.
|
||||
*/
|
||||
/*
|
||||
Copyright (C) 1991-2013 Altera Corporation
|
||||
Your use of Altera Corporation's design tools, logic functions
|
||||
and other software and tools, and its AMPP partner logic
|
||||
functions, and any output files from any of the foregoing
|
||||
(including device programming or simulation files), and any
|
||||
associated documentation or information are expressly subject
|
||||
to the terms and conditions of the Altera Program License
|
||||
Subscription Agreement, Altera MegaCore Function License
|
||||
Agreement, or other applicable license agreement, including,
|
||||
without limitation, that your use is for the sole purpose of
|
||||
programming logic devices manufactured by Altera and sold by
|
||||
Altera or its authorized distributors. Please refer to the
|
||||
applicable agreement for further details.
|
||||
*/
|
||||
(header "symbol" (version "1.1"))
|
||||
(symbol
|
||||
(rect 16 16 160 96)
|
||||
(text "DigitalFilter" (rect 5 0 46 12)(font "Arial" ))
|
||||
(text "inst" (rect 8 64 20 76)(font "Arial" ))
|
||||
(port
|
||||
(pt 0 32)
|
||||
(input)
|
||||
(text "clk" (rect 0 0 10 12)(font "Arial" ))
|
||||
(text "clk" (rect 21 27 31 39)(font "Arial" ))
|
||||
(line (pt 0 32)(pt 16 32)(line_width 1))
|
||||
)
|
||||
(port
|
||||
(pt 0 48)
|
||||
(input)
|
||||
(text "input" (rect 0 0 17 12)(font "Arial" ))
|
||||
(text "input" (rect 21 43 38 55)(font "Arial" ))
|
||||
(line (pt 0 48)(pt 16 48)(line_width 1))
|
||||
)
|
||||
(port
|
||||
(pt 144 32)
|
||||
(output)
|
||||
(text "output" (rect 0 0 23 12)(font "Arial" ))
|
||||
(text "output" (rect 100 27 123 39)(font "Arial" ))
|
||||
(line (pt 144 32)(pt 128 32)(line_width 1))
|
||||
)
|
||||
(parameter
|
||||
"PHASE_SHIFT"
|
||||
"1"
|
||||
""
|
||||
(type "PARAMETER_SIGNED_DEC") )
|
||||
(drawing
|
||||
(rectangle (rect 16 16 128 64)(line_width 1))
|
||||
)
|
||||
(annotation_block (parameter)(rect 160 -64 260 16))
|
||||
)
|
51
MainController/DigitalFilter.vhd
Normal file
51
MainController/DigitalFilter.vhd
Normal file
@ -0,0 +1,51 @@
|
||||
library IEEE;
|
||||
use IEEE.STD_LOGIC_1164.ALL;
|
||||
|
||||
entity DigitalFilter is
|
||||
generic(
|
||||
PHASE_SHIFT : integer := 1
|
||||
);
|
||||
port(
|
||||
clk : in STD_LOGIC;
|
||||
input : in STD_LOGIC;
|
||||
output : out STD_LOGIC
|
||||
);
|
||||
end DigitalFilter;
|
||||
|
||||
architecture Behavioral of DigitalFilter is
|
||||
signal count : natural range 0 to PHASE_SHIFT := 0;
|
||||
signal latch : std_logic := '0';
|
||||
begin
|
||||
|
||||
process(clk)
|
||||
begin
|
||||
if rising_edge(clk) then
|
||||
if input = '1' then
|
||||
if latch = '0' then
|
||||
latch <= '1';
|
||||
count <= 0;
|
||||
else
|
||||
if count < PHASE_SHIFT then
|
||||
count <= count + 1;
|
||||
else
|
||||
output <= '1';
|
||||
count <= 0;
|
||||
end if;
|
||||
end if;
|
||||
else
|
||||
if latch = '1' then
|
||||
latch <= '0';
|
||||
count <= 0;
|
||||
else
|
||||
if count < PHASE_SHIFT then
|
||||
count <= count + 1;
|
||||
else
|
||||
output <= '0';
|
||||
count <= 0;
|
||||
end if;
|
||||
end if;
|
||||
end if;
|
||||
end if;
|
||||
end process;
|
||||
|
||||
end Behavioral;
|
@ -163,271 +163,10 @@ applicable agreement for further details.
|
||||
(line (pt 78 12)(pt 82 8))
|
||||
)
|
||||
)
|
||||
(symbol
|
||||
(rect 792 176 936 256)
|
||||
(text "DigitalFilter2" (rect 5 0 66 12)(font "Arial" ))
|
||||
(text "inst12" (rect 8 64 37 76)(font "Arial" ))
|
||||
(port
|
||||
(pt 0 32)
|
||||
(input)
|
||||
(text "clk" (rect 0 0 14 12)(font "Arial" ))
|
||||
(text "clk" (rect 21 27 35 39)(font "Arial" ))
|
||||
(line (pt 0 32)(pt 16 32))
|
||||
)
|
||||
(port
|
||||
(pt 0 48)
|
||||
(input)
|
||||
(text "input" (rect 0 0 23 12)(font "Arial" ))
|
||||
(text "input" (rect 21 43 44 55)(font "Arial" ))
|
||||
(line (pt 0 48)(pt 16 48))
|
||||
)
|
||||
(port
|
||||
(pt 144 32)
|
||||
(output)
|
||||
(text "output" (rect 0 0 30 12)(font "Arial" ))
|
||||
(text "output" (rect 98 27 128 39)(font "Arial" ))
|
||||
(line (pt 144 32)(pt 128 32))
|
||||
)
|
||||
(drawing
|
||||
(rectangle (rect 16 16 128 64))
|
||||
)
|
||||
)
|
||||
(symbol
|
||||
(rect 792 264 936 344)
|
||||
(text "DigitalFilter2" (rect 5 0 66 12)(font "Arial" ))
|
||||
(text "inst13" (rect 8 64 37 76)(font "Arial" ))
|
||||
(port
|
||||
(pt 0 32)
|
||||
(input)
|
||||
(text "clk" (rect 0 0 14 12)(font "Arial" ))
|
||||
(text "clk" (rect 21 27 35 39)(font "Arial" ))
|
||||
(line (pt 0 32)(pt 16 32))
|
||||
)
|
||||
(port
|
||||
(pt 0 48)
|
||||
(input)
|
||||
(text "input" (rect 0 0 23 12)(font "Arial" ))
|
||||
(text "input" (rect 21 43 44 55)(font "Arial" ))
|
||||
(line (pt 0 48)(pt 16 48))
|
||||
)
|
||||
(port
|
||||
(pt 144 32)
|
||||
(output)
|
||||
(text "output" (rect 0 0 30 12)(font "Arial" ))
|
||||
(text "output" (rect 98 27 128 39)(font "Arial" ))
|
||||
(line (pt 144 32)(pt 128 32))
|
||||
)
|
||||
(drawing
|
||||
(rectangle (rect 16 16 128 64))
|
||||
)
|
||||
)
|
||||
(symbol
|
||||
(rect 792 352 936 432)
|
||||
(text "DigitalFilter2" (rect 5 0 66 12)(font "Arial" ))
|
||||
(text "inst14" (rect 8 64 37 76)(font "Arial" ))
|
||||
(port
|
||||
(pt 0 32)
|
||||
(input)
|
||||
(text "clk" (rect 0 0 14 12)(font "Arial" ))
|
||||
(text "clk" (rect 21 27 35 39)(font "Arial" ))
|
||||
(line (pt 0 32)(pt 16 32))
|
||||
)
|
||||
(port
|
||||
(pt 0 48)
|
||||
(input)
|
||||
(text "input" (rect 0 0 23 12)(font "Arial" ))
|
||||
(text "input" (rect 21 43 44 55)(font "Arial" ))
|
||||
(line (pt 0 48)(pt 16 48))
|
||||
)
|
||||
(port
|
||||
(pt 144 32)
|
||||
(output)
|
||||
(text "output" (rect 0 0 30 12)(font "Arial" ))
|
||||
(text "output" (rect 98 27 128 39)(font "Arial" ))
|
||||
(line (pt 144 32)(pt 128 32))
|
||||
)
|
||||
(drawing
|
||||
(rectangle (rect 16 16 128 64))
|
||||
)
|
||||
)
|
||||
(symbol
|
||||
(rect 792 616 936 696)
|
||||
(text "DigitalFilter2" (rect 5 0 66 12)(font "Arial" ))
|
||||
(text "inst15" (rect 8 64 37 76)(font "Arial" ))
|
||||
(port
|
||||
(pt 0 32)
|
||||
(input)
|
||||
(text "clk" (rect 0 0 14 12)(font "Arial" ))
|
||||
(text "clk" (rect 21 27 35 39)(font "Arial" ))
|
||||
(line (pt 0 32)(pt 16 32))
|
||||
)
|
||||
(port
|
||||
(pt 0 48)
|
||||
(input)
|
||||
(text "input" (rect 0 0 23 12)(font "Arial" ))
|
||||
(text "input" (rect 21 43 44 55)(font "Arial" ))
|
||||
(line (pt 0 48)(pt 16 48))
|
||||
)
|
||||
(port
|
||||
(pt 144 32)
|
||||
(output)
|
||||
(text "output" (rect 0 0 30 12)(font "Arial" ))
|
||||
(text "output" (rect 98 27 128 39)(font "Arial" ))
|
||||
(line (pt 144 32)(pt 128 32))
|
||||
)
|
||||
(drawing
|
||||
(rectangle (rect 16 16 128 64))
|
||||
)
|
||||
)
|
||||
(symbol
|
||||
(rect 792 440 936 520)
|
||||
(text "DigitalFilter2" (rect 5 0 66 12)(font "Arial" ))
|
||||
(text "inst16" (rect 8 64 37 76)(font "Arial" ))
|
||||
(port
|
||||
(pt 0 32)
|
||||
(input)
|
||||
(text "clk" (rect 0 0 14 12)(font "Arial" ))
|
||||
(text "clk" (rect 21 27 35 39)(font "Arial" ))
|
||||
(line (pt 0 32)(pt 16 32))
|
||||
)
|
||||
(port
|
||||
(pt 0 48)
|
||||
(input)
|
||||
(text "input" (rect 0 0 23 12)(font "Arial" ))
|
||||
(text "input" (rect 21 43 44 55)(font "Arial" ))
|
||||
(line (pt 0 48)(pt 16 48))
|
||||
)
|
||||
(port
|
||||
(pt 144 32)
|
||||
(output)
|
||||
(text "output" (rect 0 0 30 12)(font "Arial" ))
|
||||
(text "output" (rect 98 27 128 39)(font "Arial" ))
|
||||
(line (pt 144 32)(pt 128 32))
|
||||
)
|
||||
(drawing
|
||||
(rectangle (rect 16 16 128 64))
|
||||
)
|
||||
)
|
||||
(symbol
|
||||
(rect 792 528 936 608)
|
||||
(text "DigitalFilter2" (rect 5 0 66 12)(font "Arial" ))
|
||||
(text "inst17" (rect 8 64 37 76)(font "Arial" ))
|
||||
(port
|
||||
(pt 0 32)
|
||||
(input)
|
||||
(text "clk" (rect 0 0 14 12)(font "Arial" ))
|
||||
(text "clk" (rect 21 27 35 39)(font "Arial" ))
|
||||
(line (pt 0 32)(pt 16 32))
|
||||
)
|
||||
(port
|
||||
(pt 0 48)
|
||||
(input)
|
||||
(text "input" (rect 0 0 23 12)(font "Arial" ))
|
||||
(text "input" (rect 21 43 44 55)(font "Arial" ))
|
||||
(line (pt 0 48)(pt 16 48))
|
||||
)
|
||||
(port
|
||||
(pt 144 32)
|
||||
(output)
|
||||
(text "output" (rect 0 0 30 12)(font "Arial" ))
|
||||
(text "output" (rect 98 27 128 39)(font "Arial" ))
|
||||
(line (pt 144 32)(pt 128 32))
|
||||
)
|
||||
(drawing
|
||||
(rectangle (rect 16 16 128 64))
|
||||
)
|
||||
)
|
||||
(symbol
|
||||
(rect 792 880 936 960)
|
||||
(text "DigitalFilter2" (rect 5 0 66 12)(font "Arial" ))
|
||||
(text "inst18" (rect 8 64 37 76)(font "Arial" ))
|
||||
(port
|
||||
(pt 0 32)
|
||||
(input)
|
||||
(text "clk" (rect 0 0 14 12)(font "Arial" ))
|
||||
(text "clk" (rect 21 27 35 39)(font "Arial" ))
|
||||
(line (pt 0 32)(pt 16 32))
|
||||
)
|
||||
(port
|
||||
(pt 0 48)
|
||||
(input)
|
||||
(text "input" (rect 0 0 23 12)(font "Arial" ))
|
||||
(text "input" (rect 21 43 44 55)(font "Arial" ))
|
||||
(line (pt 0 48)(pt 16 48))
|
||||
)
|
||||
(port
|
||||
(pt 144 32)
|
||||
(output)
|
||||
(text "output" (rect 0 0 30 12)(font "Arial" ))
|
||||
(text "output" (rect 98 27 128 39)(font "Arial" ))
|
||||
(line (pt 144 32)(pt 128 32))
|
||||
)
|
||||
(drawing
|
||||
(rectangle (rect 16 16 128 64))
|
||||
)
|
||||
)
|
||||
(symbol
|
||||
(rect 792 704 936 784)
|
||||
(text "DigitalFilter2" (rect 5 0 66 12)(font "Arial" ))
|
||||
(text "inst19" (rect 8 64 37 76)(font "Arial" ))
|
||||
(port
|
||||
(pt 0 32)
|
||||
(input)
|
||||
(text "clk" (rect 0 0 14 12)(font "Arial" ))
|
||||
(text "clk" (rect 21 27 35 39)(font "Arial" ))
|
||||
(line (pt 0 32)(pt 16 32))
|
||||
)
|
||||
(port
|
||||
(pt 0 48)
|
||||
(input)
|
||||
(text "input" (rect 0 0 23 12)(font "Arial" ))
|
||||
(text "input" (rect 21 43 44 55)(font "Arial" ))
|
||||
(line (pt 0 48)(pt 16 48))
|
||||
)
|
||||
(port
|
||||
(pt 144 32)
|
||||
(output)
|
||||
(text "output" (rect 0 0 30 12)(font "Arial" ))
|
||||
(text "output" (rect 98 27 128 39)(font "Arial" ))
|
||||
(line (pt 144 32)(pt 128 32))
|
||||
)
|
||||
(drawing
|
||||
(rectangle (rect 16 16 128 64))
|
||||
)
|
||||
)
|
||||
(symbol
|
||||
(rect 792 792 936 872)
|
||||
(text "DigitalFilter2" (rect 5 0 66 12)(font "Arial" ))
|
||||
(text "inst20" (rect 8 64 37 76)(font "Arial" ))
|
||||
(port
|
||||
(pt 0 32)
|
||||
(input)
|
||||
(text "clk" (rect 0 0 14 12)(font "Arial" ))
|
||||
(text "clk" (rect 21 27 35 39)(font "Arial" ))
|
||||
(line (pt 0 32)(pt 16 32))
|
||||
)
|
||||
(port
|
||||
(pt 0 48)
|
||||
(input)
|
||||
(text "input" (rect 0 0 23 12)(font "Arial" ))
|
||||
(text "input" (rect 21 43 44 55)(font "Arial" ))
|
||||
(line (pt 0 48)(pt 16 48))
|
||||
)
|
||||
(port
|
||||
(pt 144 32)
|
||||
(output)
|
||||
(text "output" (rect 0 0 30 12)(font "Arial" ))
|
||||
(text "output" (rect 98 27 128 39)(font "Arial" ))
|
||||
(line (pt 144 32)(pt 128 32))
|
||||
)
|
||||
(drawing
|
||||
(rectangle (rect 16 16 128 64))
|
||||
)
|
||||
)
|
||||
(symbol
|
||||
(rect 792 -88 936 -8)
|
||||
(text "DigitalFilter2" (rect 5 0 66 12)(font "Arial" ))
|
||||
(text "inst9" (rect 8 64 31 76)(font "Arial" ))
|
||||
(text "DigitalFilter" (rect 5 0 60 12)(font "Arial" ))
|
||||
(text "inst1" (rect 8 64 31 76)(font "Arial" ))
|
||||
(port
|
||||
(pt 0 32)
|
||||
(input)
|
||||
@ -449,42 +188,19 @@ applicable agreement for further details.
|
||||
(text "output" (rect 98 27 128 39)(font "Arial" ))
|
||||
(line (pt 144 32)(pt 128 32))
|
||||
)
|
||||
(parameter
|
||||
"PHASE_SHIFT"
|
||||
"1"
|
||||
""
|
||||
(type "PARAMETER_SIGNED_DEC") )
|
||||
(drawing
|
||||
(rectangle (rect 16 16 128 64))
|
||||
)
|
||||
(annotation_block (parameter)(rect 936 -88 1136 -56))
|
||||
)
|
||||
(symbol
|
||||
(rect 792 0 936 80)
|
||||
(text "DigitalFilter2" (rect 5 0 66 12)(font "Arial" ))
|
||||
(text "inst10" (rect 8 64 37 76)(font "Arial" ))
|
||||
(port
|
||||
(pt 0 32)
|
||||
(input)
|
||||
(text "clk" (rect 0 0 14 12)(font "Arial" ))
|
||||
(text "clk" (rect 21 27 35 39)(font "Arial" ))
|
||||
(line (pt 0 32)(pt 16 32))
|
||||
)
|
||||
(port
|
||||
(pt 0 48)
|
||||
(input)
|
||||
(text "input" (rect 0 0 23 12)(font "Arial" ))
|
||||
(text "input" (rect 21 43 44 55)(font "Arial" ))
|
||||
(line (pt 0 48)(pt 16 48))
|
||||
)
|
||||
(port
|
||||
(pt 144 32)
|
||||
(output)
|
||||
(text "output" (rect 0 0 30 12)(font "Arial" ))
|
||||
(text "output" (rect 98 27 128 39)(font "Arial" ))
|
||||
(line (pt 144 32)(pt 128 32))
|
||||
)
|
||||
(drawing
|
||||
(rectangle (rect 16 16 128 64))
|
||||
)
|
||||
)
|
||||
(symbol
|
||||
(rect 792 88 936 168)
|
||||
(text "DigitalFilter3" (rect 5 0 66 12)(font "Arial" ))
|
||||
(text "DigitalFilter" (rect 5 0 60 12)(font "Arial" ))
|
||||
(text "inst" (rect 8 64 25 76)(font "Arial" ))
|
||||
(port
|
||||
(pt 0 32)
|
||||
@ -507,9 +223,365 @@ applicable agreement for further details.
|
||||
(text "output" (rect 98 27 128 39)(font "Arial" ))
|
||||
(line (pt 144 32)(pt 128 32))
|
||||
)
|
||||
(parameter
|
||||
"PHASE_SHIFT"
|
||||
"1"
|
||||
""
|
||||
(type "PARAMETER_SIGNED_DEC") )
|
||||
(drawing
|
||||
(rectangle (rect 16 16 128 64))
|
||||
)
|
||||
(annotation_block (parameter)(rect 936 0 1136 32))
|
||||
)
|
||||
(symbol
|
||||
(rect 792 88 936 168)
|
||||
(text "DigitalFilter" (rect 5 0 60 12)(font "Arial" ))
|
||||
(text "inst2" (rect 8 64 31 76)(font "Arial" ))
|
||||
(port
|
||||
(pt 0 32)
|
||||
(input)
|
||||
(text "clk" (rect 0 0 14 12)(font "Arial" ))
|
||||
(text "clk" (rect 21 27 35 39)(font "Arial" ))
|
||||
(line (pt 0 32)(pt 16 32))
|
||||
)
|
||||
(port
|
||||
(pt 0 48)
|
||||
(input)
|
||||
(text "input" (rect 0 0 23 12)(font "Arial" ))
|
||||
(text "input" (rect 21 43 44 55)(font "Arial" ))
|
||||
(line (pt 0 48)(pt 16 48))
|
||||
)
|
||||
(port
|
||||
(pt 144 32)
|
||||
(output)
|
||||
(text "output" (rect 0 0 30 12)(font "Arial" ))
|
||||
(text "output" (rect 98 27 128 39)(font "Arial" ))
|
||||
(line (pt 144 32)(pt 128 32))
|
||||
)
|
||||
(parameter
|
||||
"PHASE_SHIFT"
|
||||
"3"
|
||||
""
|
||||
(type "PARAMETER_SIGNED_DEC") )
|
||||
(drawing
|
||||
(rectangle (rect 16 16 128 64))
|
||||
)
|
||||
(annotation_block (parameter)(rect 936 88 1131 116))
|
||||
)
|
||||
(symbol
|
||||
(rect 792 176 936 256)
|
||||
(text "DigitalFilter" (rect 5 0 60 12)(font "Arial" ))
|
||||
(text "inst3" (rect 8 64 31 76)(font "Arial" ))
|
||||
(port
|
||||
(pt 0 32)
|
||||
(input)
|
||||
(text "clk" (rect 0 0 14 12)(font "Arial" ))
|
||||
(text "clk" (rect 21 27 35 39)(font "Arial" ))
|
||||
(line (pt 0 32)(pt 16 32))
|
||||
)
|
||||
(port
|
||||
(pt 0 48)
|
||||
(input)
|
||||
(text "input" (rect 0 0 23 12)(font "Arial" ))
|
||||
(text "input" (rect 21 43 44 55)(font "Arial" ))
|
||||
(line (pt 0 48)(pt 16 48))
|
||||
)
|
||||
(port
|
||||
(pt 144 32)
|
||||
(output)
|
||||
(text "output" (rect 0 0 30 12)(font "Arial" ))
|
||||
(text "output" (rect 98 27 128 39)(font "Arial" ))
|
||||
(line (pt 144 32)(pt 128 32))
|
||||
)
|
||||
(parameter
|
||||
"PHASE_SHIFT"
|
||||
"1"
|
||||
""
|
||||
(type "PARAMETER_SIGNED_DEC") )
|
||||
(drawing
|
||||
(rectangle (rect 16 16 128 64))
|
||||
)
|
||||
(annotation_block (parameter)(rect 936 176 1136 208))
|
||||
)
|
||||
(symbol
|
||||
(rect 792 264 936 344)
|
||||
(text "DigitalFilter" (rect 5 0 60 12)(font "Arial" ))
|
||||
(text "inst4" (rect 8 64 31 76)(font "Arial" ))
|
||||
(port
|
||||
(pt 0 32)
|
||||
(input)
|
||||
(text "clk" (rect 0 0 14 12)(font "Arial" ))
|
||||
(text "clk" (rect 21 27 35 39)(font "Arial" ))
|
||||
(line (pt 0 32)(pt 16 32))
|
||||
)
|
||||
(port
|
||||
(pt 0 48)
|
||||
(input)
|
||||
(text "input" (rect 0 0 23 12)(font "Arial" ))
|
||||
(text "input" (rect 21 43 44 55)(font "Arial" ))
|
||||
(line (pt 0 48)(pt 16 48))
|
||||
)
|
||||
(port
|
||||
(pt 144 32)
|
||||
(output)
|
||||
(text "output" (rect 0 0 30 12)(font "Arial" ))
|
||||
(text "output" (rect 98 27 128 39)(font "Arial" ))
|
||||
(line (pt 144 32)(pt 128 32))
|
||||
)
|
||||
(parameter
|
||||
"PHASE_SHIFT"
|
||||
"1"
|
||||
""
|
||||
(type "PARAMETER_SIGNED_DEC") )
|
||||
(drawing
|
||||
(rectangle (rect 16 16 128 64))
|
||||
)
|
||||
(annotation_block (parameter)(rect 936 264 1136 296))
|
||||
)
|
||||
(symbol
|
||||
(rect 792 352 936 432)
|
||||
(text "DigitalFilter" (rect 5 0 60 12)(font "Arial" ))
|
||||
(text "inst5" (rect 8 64 31 76)(font "Arial" ))
|
||||
(port
|
||||
(pt 0 32)
|
||||
(input)
|
||||
(text "clk" (rect 0 0 14 12)(font "Arial" ))
|
||||
(text "clk" (rect 21 27 35 39)(font "Arial" ))
|
||||
(line (pt 0 32)(pt 16 32))
|
||||
)
|
||||
(port
|
||||
(pt 0 48)
|
||||
(input)
|
||||
(text "input" (rect 0 0 23 12)(font "Arial" ))
|
||||
(text "input" (rect 21 43 44 55)(font "Arial" ))
|
||||
(line (pt 0 48)(pt 16 48))
|
||||
)
|
||||
(port
|
||||
(pt 144 32)
|
||||
(output)
|
||||
(text "output" (rect 0 0 30 12)(font "Arial" ))
|
||||
(text "output" (rect 98 27 128 39)(font "Arial" ))
|
||||
(line (pt 144 32)(pt 128 32))
|
||||
)
|
||||
(parameter
|
||||
"PHASE_SHIFT"
|
||||
"1"
|
||||
""
|
||||
(type "PARAMETER_SIGNED_DEC") )
|
||||
(drawing
|
||||
(rectangle (rect 16 16 128 64))
|
||||
)
|
||||
(annotation_block (parameter)(rect 936 352 1136 384))
|
||||
)
|
||||
(symbol
|
||||
(rect 792 440 936 520)
|
||||
(text "DigitalFilter" (rect 5 0 60 12)(font "Arial" ))
|
||||
(text "inst6" (rect 8 64 31 76)(font "Arial" ))
|
||||
(port
|
||||
(pt 0 32)
|
||||
(input)
|
||||
(text "clk" (rect 0 0 14 12)(font "Arial" ))
|
||||
(text "clk" (rect 21 27 35 39)(font "Arial" ))
|
||||
(line (pt 0 32)(pt 16 32))
|
||||
)
|
||||
(port
|
||||
(pt 0 48)
|
||||
(input)
|
||||
(text "input" (rect 0 0 23 12)(font "Arial" ))
|
||||
(text "input" (rect 21 43 44 55)(font "Arial" ))
|
||||
(line (pt 0 48)(pt 16 48))
|
||||
)
|
||||
(port
|
||||
(pt 144 32)
|
||||
(output)
|
||||
(text "output" (rect 0 0 30 12)(font "Arial" ))
|
||||
(text "output" (rect 98 27 128 39)(font "Arial" ))
|
||||
(line (pt 144 32)(pt 128 32))
|
||||
)
|
||||
(parameter
|
||||
"PHASE_SHIFT"
|
||||
"1"
|
||||
""
|
||||
(type "PARAMETER_SIGNED_DEC") )
|
||||
(drawing
|
||||
(rectangle (rect 16 16 128 64))
|
||||
)
|
||||
(annotation_block (parameter)(rect 936 440 1136 472))
|
||||
)
|
||||
(symbol
|
||||
(rect 792 528 936 608)
|
||||
(text "DigitalFilter" (rect 5 0 60 12)(font "Arial" ))
|
||||
(text "inst7" (rect 8 64 31 76)(font "Arial" ))
|
||||
(port
|
||||
(pt 0 32)
|
||||
(input)
|
||||
(text "clk" (rect 0 0 14 12)(font "Arial" ))
|
||||
(text "clk" (rect 21 27 35 39)(font "Arial" ))
|
||||
(line (pt 0 32)(pt 16 32))
|
||||
)
|
||||
(port
|
||||
(pt 0 48)
|
||||
(input)
|
||||
(text "input" (rect 0 0 23 12)(font "Arial" ))
|
||||
(text "input" (rect 21 43 44 55)(font "Arial" ))
|
||||
(line (pt 0 48)(pt 16 48))
|
||||
)
|
||||
(port
|
||||
(pt 144 32)
|
||||
(output)
|
||||
(text "output" (rect 0 0 30 12)(font "Arial" ))
|
||||
(text "output" (rect 98 27 128 39)(font "Arial" ))
|
||||
(line (pt 144 32)(pt 128 32))
|
||||
)
|
||||
(parameter
|
||||
"PHASE_SHIFT"
|
||||
"1"
|
||||
""
|
||||
(type "PARAMETER_SIGNED_DEC") )
|
||||
(drawing
|
||||
(rectangle (rect 16 16 128 64))
|
||||
)
|
||||
(annotation_block (parameter)(rect 936 528 1136 560))
|
||||
)
|
||||
(symbol
|
||||
(rect 792 616 936 696)
|
||||
(text "DigitalFilter" (rect 5 0 60 12)(font "Arial" ))
|
||||
(text "inst8" (rect 8 64 31 76)(font "Arial" ))
|
||||
(port
|
||||
(pt 0 32)
|
||||
(input)
|
||||
(text "clk" (rect 0 0 14 12)(font "Arial" ))
|
||||
(text "clk" (rect 21 27 35 39)(font "Arial" ))
|
||||
(line (pt 0 32)(pt 16 32))
|
||||
)
|
||||
(port
|
||||
(pt 0 48)
|
||||
(input)
|
||||
(text "input" (rect 0 0 23 12)(font "Arial" ))
|
||||
(text "input" (rect 21 43 44 55)(font "Arial" ))
|
||||
(line (pt 0 48)(pt 16 48))
|
||||
)
|
||||
(port
|
||||
(pt 144 32)
|
||||
(output)
|
||||
(text "output" (rect 0 0 30 12)(font "Arial" ))
|
||||
(text "output" (rect 98 27 128 39)(font "Arial" ))
|
||||
(line (pt 144 32)(pt 128 32))
|
||||
)
|
||||
(parameter
|
||||
"PHASE_SHIFT"
|
||||
"1"
|
||||
""
|
||||
(type "PARAMETER_SIGNED_DEC") )
|
||||
(drawing
|
||||
(rectangle (rect 16 16 128 64))
|
||||
)
|
||||
(annotation_block (parameter)(rect 936 616 1136 648))
|
||||
)
|
||||
(symbol
|
||||
(rect 792 704 936 784)
|
||||
(text "DigitalFilter" (rect 5 0 60 12)(font "Arial" ))
|
||||
(text "inst9" (rect 8 64 31 76)(font "Arial" ))
|
||||
(port
|
||||
(pt 0 32)
|
||||
(input)
|
||||
(text "clk" (rect 0 0 14 12)(font "Arial" ))
|
||||
(text "clk" (rect 21 27 35 39)(font "Arial" ))
|
||||
(line (pt 0 32)(pt 16 32))
|
||||
)
|
||||
(port
|
||||
(pt 0 48)
|
||||
(input)
|
||||
(text "input" (rect 0 0 23 12)(font "Arial" ))
|
||||
(text "input" (rect 21 43 44 55)(font "Arial" ))
|
||||
(line (pt 0 48)(pt 16 48))
|
||||
)
|
||||
(port
|
||||
(pt 144 32)
|
||||
(output)
|
||||
(text "output" (rect 0 0 30 12)(font "Arial" ))
|
||||
(text "output" (rect 98 27 128 39)(font "Arial" ))
|
||||
(line (pt 144 32)(pt 128 32))
|
||||
)
|
||||
(parameter
|
||||
"PHASE_SHIFT"
|
||||
"1"
|
||||
""
|
||||
(type "PARAMETER_SIGNED_DEC") )
|
||||
(drawing
|
||||
(rectangle (rect 16 16 128 64))
|
||||
)
|
||||
(annotation_block (parameter)(rect 936 704 1136 736))
|
||||
)
|
||||
(symbol
|
||||
(rect 792 792 936 872)
|
||||
(text "DigitalFilter" (rect 5 0 60 12)(font "Arial" ))
|
||||
(text "inst10" (rect 8 64 37 76)(font "Arial" ))
|
||||
(port
|
||||
(pt 0 32)
|
||||
(input)
|
||||
(text "clk" (rect 0 0 14 12)(font "Arial" ))
|
||||
(text "clk" (rect 21 27 35 39)(font "Arial" ))
|
||||
(line (pt 0 32)(pt 16 32))
|
||||
)
|
||||
(port
|
||||
(pt 0 48)
|
||||
(input)
|
||||
(text "input" (rect 0 0 23 12)(font "Arial" ))
|
||||
(text "input" (rect 21 43 44 55)(font "Arial" ))
|
||||
(line (pt 0 48)(pt 16 48))
|
||||
)
|
||||
(port
|
||||
(pt 144 32)
|
||||
(output)
|
||||
(text "output" (rect 0 0 30 12)(font "Arial" ))
|
||||
(text "output" (rect 98 27 128 39)(font "Arial" ))
|
||||
(line (pt 144 32)(pt 128 32))
|
||||
)
|
||||
(parameter
|
||||
"PHASE_SHIFT"
|
||||
"1"
|
||||
""
|
||||
(type "PARAMETER_SIGNED_DEC") )
|
||||
(drawing
|
||||
(rectangle (rect 16 16 128 64))
|
||||
)
|
||||
(annotation_block (parameter)(rect 936 792 1136 824))
|
||||
)
|
||||
(symbol
|
||||
(rect 792 880 936 960)
|
||||
(text "DigitalFilter" (rect 5 0 60 12)(font "Arial" ))
|
||||
(text "inst11" (rect 8 64 37 76)(font "Arial" ))
|
||||
(port
|
||||
(pt 0 32)
|
||||
(input)
|
||||
(text "clk" (rect 0 0 14 12)(font "Arial" ))
|
||||
(text "clk" (rect 21 27 35 39)(font "Arial" ))
|
||||
(line (pt 0 32)(pt 16 32))
|
||||
)
|
||||
(port
|
||||
(pt 0 48)
|
||||
(input)
|
||||
(text "input" (rect 0 0 23 12)(font "Arial" ))
|
||||
(text "input" (rect 21 43 44 55)(font "Arial" ))
|
||||
(line (pt 0 48)(pt 16 48))
|
||||
)
|
||||
(port
|
||||
(pt 144 32)
|
||||
(output)
|
||||
(text "output" (rect 0 0 30 12)(font "Arial" ))
|
||||
(text "output" (rect 98 27 128 39)(font "Arial" ))
|
||||
(line (pt 144 32)(pt 128 32))
|
||||
)
|
||||
(parameter
|
||||
"PHASE_SHIFT"
|
||||
"1"
|
||||
""
|
||||
(type "PARAMETER_SIGNED_DEC") )
|
||||
(drawing
|
||||
(rectangle (rect 16 16 128 64))
|
||||
)
|
||||
(annotation_block (parameter)(rect 936 880 1136 912))
|
||||
)
|
||||
(connector
|
||||
(pt 696 208)
|
||||
@ -543,10 +615,6 @@ applicable agreement for further details.
|
||||
(pt 696 824)
|
||||
(pt 792 824)
|
||||
)
|
||||
(connector
|
||||
(pt 696 912)
|
||||
(pt 792 912)
|
||||
)
|
||||
(connector
|
||||
(pt 696 296)
|
||||
(pt 696 384)
|
||||
@ -587,59 +655,6 @@ applicable agreement for further details.
|
||||
(pt 672 752)
|
||||
(bus)
|
||||
)
|
||||
(connector
|
||||
(pt 672 752)
|
||||
(pt 672 840)
|
||||
(bus)
|
||||
)
|
||||
(connector
|
||||
(pt 672 840)
|
||||
(pt 672 928)
|
||||
(bus)
|
||||
)
|
||||
(connector
|
||||
(pt 1024 296)
|
||||
(pt 1024 384)
|
||||
(bus)
|
||||
)
|
||||
(connector
|
||||
(pt 1024 384)
|
||||
(pt 1024 472)
|
||||
(bus)
|
||||
)
|
||||
(connector
|
||||
(pt 1024 472)
|
||||
(pt 1024 560)
|
||||
(bus)
|
||||
)
|
||||
(connector
|
||||
(pt 1024 560)
|
||||
(pt 1024 648)
|
||||
(bus)
|
||||
)
|
||||
(connector
|
||||
(pt 1024 648)
|
||||
(pt 1024 736)
|
||||
(bus)
|
||||
)
|
||||
(connector
|
||||
(pt 1024 736)
|
||||
(pt 1024 824)
|
||||
(bus)
|
||||
)
|
||||
(connector
|
||||
(pt 1024 824)
|
||||
(pt 1024 912)
|
||||
(bus)
|
||||
)
|
||||
(connector
|
||||
(pt 696 736)
|
||||
(pt 696 824)
|
||||
)
|
||||
(connector
|
||||
(pt 696 824)
|
||||
(pt 696 912)
|
||||
)
|
||||
(connector
|
||||
(pt 672 224)
|
||||
(pt 672 312)
|
||||
@ -744,56 +759,6 @@ applicable agreement for further details.
|
||||
(pt 672 840)
|
||||
(pt 792 840)
|
||||
)
|
||||
(connector
|
||||
(text "ADDRin[8]" (rect 730 912 783 924)(font "Arial" ))
|
||||
(pt 792 928)
|
||||
(pt 672 928)
|
||||
)
|
||||
(connector
|
||||
(text "ADDRout[0]" (rect 946 192 1006 204)(font "Arial" ))
|
||||
(pt 936 208)
|
||||
(pt 1024 208)
|
||||
)
|
||||
(connector
|
||||
(text "ADDRout[1]" (rect 946 280 1006 292)(font "Arial" ))
|
||||
(pt 936 296)
|
||||
(pt 1024 296)
|
||||
)
|
||||
(connector
|
||||
(text "ADDRout[2]" (rect 946 368 1006 380)(font "Arial" ))
|
||||
(pt 936 384)
|
||||
(pt 1024 384)
|
||||
)
|
||||
(connector
|
||||
(text "ADDRout[3]" (rect 946 456 1006 468)(font "Arial" ))
|
||||
(pt 936 472)
|
||||
(pt 1024 472)
|
||||
)
|
||||
(connector
|
||||
(text "ADDRout[4]" (rect 946 544 1006 556)(font "Arial" ))
|
||||
(pt 936 560)
|
||||
(pt 1024 560)
|
||||
)
|
||||
(connector
|
||||
(text "ADDRout[5]" (rect 946 632 1006 644)(font "Arial" ))
|
||||
(pt 936 648)
|
||||
(pt 1024 648)
|
||||
)
|
||||
(connector
|
||||
(text "ADDRout[6]" (rect 946 720 1006 732)(font "Arial" ))
|
||||
(pt 936 736)
|
||||
(pt 1024 736)
|
||||
)
|
||||
(connector
|
||||
(text "ADDRout[7]" (rect 946 808 1006 820)(font "Arial" ))
|
||||
(pt 936 824)
|
||||
(pt 1024 824)
|
||||
)
|
||||
(connector
|
||||
(text "ADDRout[8]" (rect 946 896 1006 908)(font "Arial" ))
|
||||
(pt 936 912)
|
||||
(pt 1024 912)
|
||||
)
|
||||
(connector
|
||||
(pt 936 -56)
|
||||
(pt 1536 -56)
|
||||
@ -807,19 +772,126 @@ applicable agreement for further details.
|
||||
(pt 1536 120)
|
||||
)
|
||||
(connector
|
||||
(text "ADDRout[8..0]" (rect 1170 128 1243 140)(font "Arial" ))
|
||||
(pt 1024 144)
|
||||
(pt 696 912)
|
||||
(pt 792 912)
|
||||
)
|
||||
(connector
|
||||
(text "ADDRin[8]" (rect 730 912 783 924)(font "Arial" ))
|
||||
(pt 672 928)
|
||||
(pt 792 928)
|
||||
)
|
||||
(connector
|
||||
(pt 672 752)
|
||||
(pt 672 840)
|
||||
(bus)
|
||||
)
|
||||
(connector
|
||||
(pt 672 840)
|
||||
(pt 672 928)
|
||||
(bus)
|
||||
)
|
||||
(connector
|
||||
(pt 696 736)
|
||||
(pt 696 824)
|
||||
)
|
||||
(connector
|
||||
(pt 696 824)
|
||||
(pt 696 912)
|
||||
)
|
||||
(connector
|
||||
(text "ADDRout[8..0]" (rect 1434 128 1507 140)(font "Arial" ))
|
||||
(pt 1328 144)
|
||||
(pt 1536 144)
|
||||
(bus)
|
||||
)
|
||||
(connector
|
||||
(pt 1024 144)
|
||||
(pt 1024 208)
|
||||
(text "ADDRout[0]" (rect 1202 184 1262 196)(font "Arial" ))
|
||||
(pt 1328 208)
|
||||
(pt 936 208)
|
||||
)
|
||||
(connector
|
||||
(text "ADDRout[1]" (rect 1202 280 1262 292)(font "Arial" ))
|
||||
(pt 936 296)
|
||||
(pt 1328 296)
|
||||
)
|
||||
(connector
|
||||
(text "ADDRout[2]" (rect 1202 368 1262 380)(font "Arial" ))
|
||||
(pt 936 384)
|
||||
(pt 1328 384)
|
||||
)
|
||||
(connector
|
||||
(text "ADDRout[3]" (rect 1202 456 1262 468)(font "Arial" ))
|
||||
(pt 936 472)
|
||||
(pt 1328 472)
|
||||
)
|
||||
(connector
|
||||
(text "ADDRout[4]" (rect 1202 544 1262 556)(font "Arial" ))
|
||||
(pt 936 560)
|
||||
(pt 1328 560)
|
||||
)
|
||||
(connector
|
||||
(text "ADDRout[5]" (rect 1202 632 1262 644)(font "Arial" ))
|
||||
(pt 936 648)
|
||||
(pt 1328 648)
|
||||
)
|
||||
(connector
|
||||
(text "ADDRout[6]" (rect 1202 720 1262 732)(font "Arial" ))
|
||||
(pt 936 736)
|
||||
(pt 1328 736)
|
||||
)
|
||||
(connector
|
||||
(text "ADDRout[7]" (rect 1202 808 1262 820)(font "Arial" ))
|
||||
(pt 936 824)
|
||||
(pt 1328 824)
|
||||
)
|
||||
(connector
|
||||
(text "ADDRout[8]" (rect 1202 896 1262 908)(font "Arial" ))
|
||||
(pt 936 912)
|
||||
(pt 1328 912)
|
||||
)
|
||||
(connector
|
||||
(pt 1328 144)
|
||||
(pt 1328 208)
|
||||
(bus)
|
||||
)
|
||||
(connector
|
||||
(pt 1024 208)
|
||||
(pt 1024 296)
|
||||
(pt 1328 208)
|
||||
(pt 1328 296)
|
||||
(bus)
|
||||
)
|
||||
(connector
|
||||
(pt 1328 296)
|
||||
(pt 1328 384)
|
||||
(bus)
|
||||
)
|
||||
(connector
|
||||
(pt 1328 384)
|
||||
(pt 1328 472)
|
||||
(bus)
|
||||
)
|
||||
(connector
|
||||
(pt 1328 472)
|
||||
(pt 1328 560)
|
||||
(bus)
|
||||
)
|
||||
(connector
|
||||
(pt 1328 560)
|
||||
(pt 1328 648)
|
||||
(bus)
|
||||
)
|
||||
(connector
|
||||
(pt 1328 648)
|
||||
(pt 1328 736)
|
||||
(bus)
|
||||
)
|
||||
(connector
|
||||
(pt 1328 736)
|
||||
(pt 1328 824)
|
||||
(bus)
|
||||
)
|
||||
(connector
|
||||
(pt 1328 824)
|
||||
(pt 1328 912)
|
||||
(bus)
|
||||
)
|
||||
(junction (pt 696 296))
|
||||
@ -836,16 +908,16 @@ applicable agreement for further details.
|
||||
(junction (pt 672 664))
|
||||
(junction (pt 672 752))
|
||||
(junction (pt 672 840))
|
||||
(junction (pt 1024 208))
|
||||
(junction (pt 1024 296))
|
||||
(junction (pt 1024 384))
|
||||
(junction (pt 1024 472))
|
||||
(junction (pt 1024 560))
|
||||
(junction (pt 1024 648))
|
||||
(junction (pt 1024 736))
|
||||
(junction (pt 1024 824))
|
||||
(junction (pt 672 224))
|
||||
(junction (pt 696 208))
|
||||
(junction (pt 696 32))
|
||||
(junction (pt 696 120))
|
||||
(junction (pt 696 -56))
|
||||
(junction (pt 1328 208))
|
||||
(junction (pt 1328 296))
|
||||
(junction (pt 1328 384))
|
||||
(junction (pt 1328 472))
|
||||
(junction (pt 1328 560))
|
||||
(junction (pt 1328 648))
|
||||
(junction (pt 1328 736))
|
||||
(junction (pt 1328 824))
|
||||
|
@ -324,77 +324,6 @@ applicable agreement for further details.
|
||||
(line (pt 8 8)(pt 24 8))
|
||||
)
|
||||
)
|
||||
(symbol
|
||||
(rect 704 208 936 336)
|
||||
(text "DigitalFilterBlock" (rect 5 0 95 14)(font "Arial" (font_size 8)))
|
||||
(text "inst12" (rect 8 112 37 124)(font "Arial" ))
|
||||
(port
|
||||
(pt 0 32)
|
||||
(input)
|
||||
(text "clk" (rect 0 0 15 14)(font "Arial" (font_size 8)))
|
||||
(text "clk" (rect 21 27 36 41)(font "Arial" (font_size 8)))
|
||||
(line (pt 0 32)(pt 16 32))
|
||||
)
|
||||
(port
|
||||
(pt 0 48)
|
||||
(input)
|
||||
(text "cein" (rect 0 0 23 14)(font "Arial" (font_size 8)))
|
||||
(text "cein" (rect 21 43 44 57)(font "Arial" (font_size 8)))
|
||||
(line (pt 0 48)(pt 16 48))
|
||||
)
|
||||
(port
|
||||
(pt 0 64)
|
||||
(input)
|
||||
(text "oein" (rect 0 0 23 14)(font "Arial" (font_size 8)))
|
||||
(text "oein" (rect 21 59 44 73)(font "Arial" (font_size 8)))
|
||||
(line (pt 0 64)(pt 16 64))
|
||||
)
|
||||
(port
|
||||
(pt 0 80)
|
||||
(input)
|
||||
(text "wein" (rect 0 0 28 14)(font "Arial" (font_size 8)))
|
||||
(text "wein" (rect 21 75 49 89)(font "Arial" (font_size 8)))
|
||||
(line (pt 0 80)(pt 16 80))
|
||||
)
|
||||
(port
|
||||
(pt 0 96)
|
||||
(input)
|
||||
(text "addressin[8..0]" (rect 0 0 84 14)(font "Arial" (font_size 8)))
|
||||
(text "addressin[8..0]" (rect 21 91 105 105)(font "Arial" (font_size 8)))
|
||||
(line (pt 0 96)(pt 16 96)(line_width 3))
|
||||
)
|
||||
(port
|
||||
(pt 232 32)
|
||||
(output)
|
||||
(text "ceout" (rect 0 0 31 14)(font "Arial" (font_size 8)))
|
||||
(text "ceout" (rect 180 27 211 41)(font "Arial" (font_size 8)))
|
||||
(line (pt 232 32)(pt 216 32))
|
||||
)
|
||||
(port
|
||||
(pt 232 48)
|
||||
(output)
|
||||
(text "oeout" (rect 0 0 31 14)(font "Arial" (font_size 8)))
|
||||
(text "oeout" (rect 180 43 211 57)(font "Arial" (font_size 8)))
|
||||
(line (pt 232 48)(pt 216 48))
|
||||
)
|
||||
(port
|
||||
(pt 232 64)
|
||||
(output)
|
||||
(text "weout" (rect 0 0 36 14)(font "Arial" (font_size 8)))
|
||||
(text "weout" (rect 175 59 211 73)(font "Arial" (font_size 8)))
|
||||
(line (pt 232 64)(pt 216 64))
|
||||
)
|
||||
(port
|
||||
(pt 232 80)
|
||||
(output)
|
||||
(text "addressout[8..0]" (rect 0 0 93 14)(font "Arial" (font_size 8)))
|
||||
(text "addressout[8..0]" (rect 118 75 211 89)(font "Arial" (font_size 8)))
|
||||
(line (pt 232 80)(pt 216 80)(line_width 3))
|
||||
)
|
||||
(drawing
|
||||
(rectangle (rect 16 16 216 112))
|
||||
)
|
||||
)
|
||||
(symbol
|
||||
(rect 1040 544 1368 688)
|
||||
(text "RAM9X8_SerialBusMaster" (rect 5 0 131 12)(font "Arial" ))
|
||||
@ -551,6 +480,77 @@ applicable agreement for further details.
|
||||
)
|
||||
(annotation_block (parameter)(rect 1040 208 1280 256))
|
||||
)
|
||||
(symbol
|
||||
(rect 704 208 936 336)
|
||||
(text "DigitalFilterBlock" (rect 5 0 95 14)(font "Arial" (font_size 8)))
|
||||
(text "inst3" (rect 8 112 31 124)(font "Arial" ))
|
||||
(port
|
||||
(pt 0 32)
|
||||
(input)
|
||||
(text "clk" (rect 0 0 15 14)(font "Arial" (font_size 8)))
|
||||
(text "clk" (rect 21 27 36 41)(font "Arial" (font_size 8)))
|
||||
(line (pt 0 32)(pt 16 32))
|
||||
)
|
||||
(port
|
||||
(pt 0 48)
|
||||
(input)
|
||||
(text "cein" (rect 0 0 23 14)(font "Arial" (font_size 8)))
|
||||
(text "cein" (rect 21 43 44 57)(font "Arial" (font_size 8)))
|
||||
(line (pt 0 48)(pt 16 48))
|
||||
)
|
||||
(port
|
||||
(pt 0 64)
|
||||
(input)
|
||||
(text "oein" (rect 0 0 23 14)(font "Arial" (font_size 8)))
|
||||
(text "oein" (rect 21 59 44 73)(font "Arial" (font_size 8)))
|
||||
(line (pt 0 64)(pt 16 64))
|
||||
)
|
||||
(port
|
||||
(pt 0 80)
|
||||
(input)
|
||||
(text "wein" (rect 0 0 28 14)(font "Arial" (font_size 8)))
|
||||
(text "wein" (rect 21 75 49 89)(font "Arial" (font_size 8)))
|
||||
(line (pt 0 80)(pt 16 80))
|
||||
)
|
||||
(port
|
||||
(pt 0 96)
|
||||
(input)
|
||||
(text "addressin[8..0]" (rect 0 0 84 14)(font "Arial" (font_size 8)))
|
||||
(text "addressin[8..0]" (rect 21 91 105 105)(font "Arial" (font_size 8)))
|
||||
(line (pt 0 96)(pt 16 96)(line_width 3))
|
||||
)
|
||||
(port
|
||||
(pt 232 32)
|
||||
(output)
|
||||
(text "ceout" (rect 0 0 31 14)(font "Arial" (font_size 8)))
|
||||
(text "ceout" (rect 180 27 211 41)(font "Arial" (font_size 8)))
|
||||
(line (pt 232 32)(pt 216 32))
|
||||
)
|
||||
(port
|
||||
(pt 232 48)
|
||||
(output)
|
||||
(text "oeout" (rect 0 0 31 14)(font "Arial" (font_size 8)))
|
||||
(text "oeout" (rect 180 43 211 57)(font "Arial" (font_size 8)))
|
||||
(line (pt 232 48)(pt 216 48))
|
||||
)
|
||||
(port
|
||||
(pt 232 64)
|
||||
(output)
|
||||
(text "weout" (rect 0 0 36 14)(font "Arial" (font_size 8)))
|
||||
(text "weout" (rect 175 59 211 73)(font "Arial" (font_size 8)))
|
||||
(line (pt 232 64)(pt 216 64))
|
||||
)
|
||||
(port
|
||||
(pt 232 80)
|
||||
(output)
|
||||
(text "addressout[8..0]" (rect 0 0 93 14)(font "Arial" (font_size 8)))
|
||||
(text "addressout[8..0]" (rect 118 75 211 89)(font "Arial" (font_size 8)))
|
||||
(line (pt 232 80)(pt 216 80)(line_width 3))
|
||||
)
|
||||
(drawing
|
||||
(rectangle (rect 16 16 216 112))
|
||||
)
|
||||
)
|
||||
(connector
|
||||
(pt 560 32)
|
||||
(pt 608 32)
|
||||
@ -684,14 +684,6 @@ applicable agreement for further details.
|
||||
(pt 824 32)
|
||||
(pt 1336 32)
|
||||
)
|
||||
(connector
|
||||
(pt 856 120)
|
||||
(pt 936 120)
|
||||
)
|
||||
(connector
|
||||
(pt 936 -16)
|
||||
(pt 936 120)
|
||||
)
|
||||
(connector
|
||||
(pt 608 32)
|
||||
(pt 608 136)
|
||||
@ -731,6 +723,14 @@ applicable agreement for further details.
|
||||
(pt 1440 288)
|
||||
(bus)
|
||||
)
|
||||
(connector
|
||||
(pt 936 -16)
|
||||
(pt 936 120)
|
||||
)
|
||||
(connector
|
||||
(pt 936 120)
|
||||
(pt 856 120)
|
||||
)
|
||||
(junction (pt 608 32))
|
||||
(junction (pt 1000 288))
|
||||
(junction (pt 984 304))
|
||||
|
@ -122,4 +122,5 @@ set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to SBdatain
|
||||
set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to SBdataout
|
||||
set_global_assignment -name VHDL_FILE DigitalFilter3.vhd
|
||||
set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to Address[8]
|
||||
set_global_assignment -name VHDL_FILE DigitalFilter.vhd
|
||||
set_instance_assignment -name PARTITION_HIERARCHY root_partition -to | -section_id Top
|
Loading…
Reference in New Issue
Block a user